Publications HAL du labo/EPI Kairos

2024

Preprints, Working Papers, ...

titre
Quantifying and combining uncertainty for improving the behavior of Digital Twin Systems
auteur
Julien Deantoni, Paula Muñoz, Cláudio Gomes, Clark Verbrugge, Rakshit Mittal, Robert Heinrich, Stijn Bellis, Antonio Vallecillo
article
2024
typdoc
Preprints, Working Papers, ...
DOI
DOI : 10.48550/arXiv.2402.10535
Accès au bibtex
https://arxiv.org/pdf/2402.10535 BibTex

2023

Journal articles

titre
Automated Synthesis of Safe Timing Behaviors for Requirements Models using CCSL
auteur
Ming Hu, Jun Xia, Min Zhang, Xiaohong Chen, Frédéric Mallet, Mingsong Chen
article
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2023, pp.1-1. ⟨10.1109/TCAD.2023.3285412⟩
typdoc
Journal articles
DOI
DOI : 10.1109/TCAD.2023.3285412
Accès au bibtex
BibTex
titre
Accelerating Reinforcement Learning-Based CCSL Specification Synthesis Using Curiosity-Driven Exploration
auteur
Ming Hu, Min Zhang, Frédéric Mallet, Xin Fu, Mingsong Chen
article
IEEE Transactions on Computers, 2023, 72 (5), pp.1431-1446. ⟨10.1109/TC.2022.3197956⟩
typdoc
Journal articles
DOI
DOI : 10.1109/TC.2022.3197956
Accès au bibtex
BibTex
titre
How Research meets Standardization: the Asynchronous Contact Tracing ETSI Standard and the PANDESYS Research Activity
auteur
Luigi Liquori
article
Enjoy ! the ETSI Mag, 2023, janvier
typdoc
Journal articles
Accès au texte intégral et bibtex
https://inria.hal.science/hal-03940889/file/enjoy.pdf BibTex
titre
A Generic Framework for Representing and Analysing Model Concurrency
auteur
Steffen Zschaler, Erwan Bousse, Julien Deantoni, Benoit Combemale
article
Software and Systems Modeling, 2023, 22, pp.1319-1340. ⟨10.1007/s10270-022-01073-2⟩
typdoc
Journal articles
DOI
DOI : 10.1007/s10270-022-01073-2
Accès au texte intégral et bibtex
https://inria.hal.science/hal-03921704/file/Interactive_Exploration_of_Concurrency_Models.pdf BibTex

Conference papers

titre
Towards Smarter Security Orchestration and Automatic Response for CPS and IoT
auteur
Phu Nguyen, Rustem Dautov, Hui Song, Angel Rego, Eider Iturbe, Erkuden Rios, Diego Sagasti, Gonzalo Nicolas, Valeria Valdés, Wissam Mallouli, Ana Cavalli, Nicolas Ferry
article
IEEE CloudCom 2023 - 14th IEEE International Conference on Cloud Computing Technology and Science, IEEE, Dec 2023, Naples (Napoli), Italy. pp.298-302, ⟨10.1109/CloudCom59040.2023.00055⟩
typdoc
Conference papers
DOI
DOI : 10.1109/CloudCom59040.2023.00055
Accès au texte intégral et bibtex
https://hal.science/hal-04526166/file/DYNABIC_SOAR_WP5_workshop_paper__Cam_Ready_.pdf BibTex
titre
Refinements for Open Automata
auteur
Rabéa Ameur-Boulifa, Quentin Corradi, Ludovic Henrio, Eric Madelaine
article
SEFM 2023 - Software Engineering and Formal Methods, Nov 2023, Eindhoven, Netherlands. pp.11-29, ⟨10.1007/978-3-031-47115-5_2⟩
typdoc
Conference papers
DOI
DOI : 10.1007/978-3-031-47115-5_2
Accès au texte intégral et bibtex
https://inria.hal.science/hal-04271300/file/refinementarticleV2.pdf BibTex
titre
The DYNABIC approach to resilience of critical infrastructures
auteur
Erkuden Rios, Eider Iturbe, Angel Rego, Nicolas Ferry, Jean-Yves Tigli, Stéphane Lavirotte, Gérald Rocher, Phu Nguyen, Hui Song, Rustem Dautov, Wissam Mallouli, Ana Rosa Cavalli
article
ARES 2023 - 18th International Conference on Availability, Reliability and Security, Aug 2023, Benevento, Italy. pp.136, ⟨10.1145/3600160.3605055⟩
typdoc
Conference papers
DOI
DOI : 10.1145/3600160.3605055
Accès au texte intégral et bibtex
https://hal.science/hal-04191589/file/STAM_DYNABIC-6.pdf BibTex
titre
Driver Model for Take-Over-Request in Autonomous Vehicles
auteur
Ankica Barisic, Pierre Sigrist, Sylvain Oliver, Aurélien Sciarra, Marco Winckler
article
UMAP 2023 - 31st ACM Conference on User Modeling, Adaptation and Personalization, Jun 2023, Limassol, Cyprus. pp.317-324, ⟨10.1145/3563359.3596994⟩
typdoc
Conference papers
DOI
DOI : 10.1145/3563359.3596994
Accès au texte intégral et bibtex
https://hal.science/hal-04205128/file/Driver_Model_for_Take_Over_Request_in_Autonomous_Vehicles.pdf BibTex
titre
Examining model qualities and their impact on digital twins
auteur
Bentley James Oakes, Cláudio Gomes, Peter Gorm Larsen, Joachim Denil, Julien Deantoni, João Cambeiro, John Fitzgerald
article
ANNSIM 2023 - Annual Modeling and Simulation Conference, May 2023, Hamilton, Ontario, Canada. pp.220-232
typdoc
Conference papers
Accès au texte intégral et bibtex
https://inria.hal.science/hal-04259726/file/paper.pdf BibTex
titre
Semantics foundations of PsyC based on synchronous Logical Execution Time
auteur
Fabien Siron, Dumitru Potop-Butucaru, Robert de Simone, Damien Chabrol, Amira Methni
article
CPS-IoT Week 2023 - Cyber-Physical Systems and Internet of Things Week 2023, May 2023, San Antonio TX USA, France. pp.319-324, ⟨10.1145/3576914.3587495⟩
typdoc
Conference papers
DOI
DOI : 10.1145/3576914.3587495
Accès au texte intégral et bibtex
https://inria.hal.science/hal-04355453/file/3576914.3587495%20%281%29.pdf BibTex
titre
Function-as-a-Service for the Cloud-to-Thing Continuum: A Systematic Mapping Study
auteur
Bárbara Oliveira, Nicolas Ferry, Hui Song, Rustem Dautov, Ankica Barišić, Atslands Rego Da Rocha
article
IoTBDS 2023 - 8th International Conference on Internet of Things, Big Data and Security, Apr 2023, Prague, Czech Republic. pp.82-93, ⟨10.5220/0011982600003482⟩
typdoc
Conference papers
DOI
DOI : 10.5220/0011982600003482
Accès au texte intégral et bibtex
https://hal.science/hal-04081180/file/SMS_Paper-14.pdf BibTex
titre
A Decentralized Web Service Infrastructure for the Interoperability of Applications in Multihop Dynamic Networks
auteur
Luc Hogie
article
CIoT 2023 - 6th Conference on Cloud and Internet of Things, DNAC, Mar 2023, Lisbon, Portugal. pp.211-218, ⟨10.1109/CIoT57267.2023.10084876⟩
typdoc
Conference papers
DOI
DOI : 10.1109/CIoT57267.2023.10084876
Accès au texte intégral et bibtex
https://hal.science/hal-04075895/file/2023053436.pdf BibTex
titre
Early Timing Analysis based on Scenario Requirements and Platform Models (Extended Abstract)
auteur
Jörg Holtmann, Julien Deantoni, Markus Fockel
article
Software Engineering 2023, Feb 2023, Paderborn, Germany. pp.69-70
typdoc
Conference papers
Accès au texte intégral et bibtex
https://inria.hal.science/hal-04259779/file/paper21.pdf BibTex

Book sections

titre
Towards User Profile Meta-Ontology
auteur
Ankica Barisic, Marco Winckler
article
Human-Centered Software Engineering. HCSE 2023. Lecture Notes in Computer Science. LNCS, 2023
typdoc
Book sections
Accès au texte intégral et bibtex
https://hal.science/hal-04210148/file/INTERACT_submission.pdf BibTex

Other publications

titre
SmartM2M: Scenarios for evaluation of oneM2M deployments
auteur
Bob Flynn, Luigi Liquori, Marie-Agnès Peraldi-Frati, Samir Medjiah, Thierry Monteil
article
ETSI Technical Report 103839, 2023, pp.34
typdoc
Other publications
Accès au bibtex
BibTex
titre
SmartM2M; Model for oneM2M Performance Evaluation
auteur
Samir Medjiah, Thierry Monteil, Luigi Liquori, Marie-Agnès Peraldi-Frati, Bob Flynn
article
ETSI Technical Specification 103840, 2023, pp.29
typdoc
Other publications
Accès au texte intégral et bibtex
https://inria.hal.science/hal-04229478/file/TS-103840-1-page.pdf BibTex

Proceedings

titre
Proceedings of the 22nd Day of AFADL
auteur
Natalia Kushik, Frédéric Mallet
article
pp.60, 2023, Journées AFADL
typdoc
Proceedings
Accès au texte intégral et bibtex
https://inria.hal.science/hal-04179353/file/afadl2023.pdf BibTex

Reports

titre
Strong Priority and Determinacy in Timed CCS
auteur
Luigi Liquori, Michael Mendler
article
Inria; University of Bamberg. 2023
typdoc
Reports
Accès au texte intégral et bibtex
https://inria.hal.science/hal-04367635/file/synpatick-lipics.pdf BibTex
titre
Refinements for Open Automata (Extended Version)
auteur
Ludovic Henrio, Eric Madelaine, Rabéa Ameur-Boulifa, Quentin Corradi
article
RR-9517, Inria - Research Centre Grenoble – Rhône-Alpes. 2023
typdoc
Reports
Accès au texte intégral et bibtex
https://inria.hal.science/hal-04193421/file/RR-9517.pdf BibTex
titre
Formal Semantics of the PsyC language
auteur
Fabien Siron, Dumitru Potop-Butucaru, Robert de Simone, Damien Chabrol, Amira Methni
article
RR-9506, Inria - Sophia Antipolis. 2023, pp.32
typdoc
Reports
Accès au texte intégral et bibtex
https://inria.hal.science/hal-04088177/file/RR-9506.pdf BibTex

Theses

titre
Methodology for the formal verification of temporal properties for real-time safety-critical applications based on logical time
auteur
Fabien Siron
article
Embedded Systems. Université Côte d'Azur, 2023. English. ⟨NNT : 2023COAZ4092⟩
typdoc
Theses
Accès au texte intégral et bibtex
https://inria.hal.science/tel-04355316/file/2023COAZ4092.pdf BibTex

Preprints, Working Papers, ...

titre
Bidirectional Reactive Programming for Machine Learning
auteur
Dumitru Potop-Butucaru, Albert Cohen, Gordon Plotkin, Hugo Pompougnac
article
2023
typdoc
Preprints, Working Papers, ...
DOI
DOI : 10.48550/arXiv.2311.16977
Accès au texte intégral et bibtex
https://inria.hal.science/hal-04354071/file/2311.16977.pdf BibTex

2022

Journal articles

titre
Federating Digital Contact Tracing using Structured Overlay Networks
auteur
Silvia Ghilezan, Simona Kašterović, Luigi Liquori, Bojan Marinković, Zoran Ognjanović, Tamara Stefanović
article
Computer Science and Information Systems, 2022, Special Issue on Compilers, Languages, Related Technologies, and Applications, 19 (3), pp.1261-1282. ⟨10.2298/CSIS210825029G⟩
typdoc
Journal articles
DOI
DOI : 10.2298/CSIS210825029G
Accès au texte intégral et bibtex
https://inria.hal.science/hal-03127890/file/main.pdf BibTex
titre
A dynamic logic for verification of synchronous models based on theorem proving
auteur
Yuanrui Zhang, Frédéric Mallet, Zhiming Liu
article
Frontiers of Computer Science, 2022, 16 (4), pp.164407. ⟨10.1007/s11704-022-1374-4⟩
typdoc
Journal articles
DOI
DOI : 10.1007/s11704-022-1374-4
Accès au bibtex
https://arxiv.org/pdf/2104.03681 BibTex
titre
Weaving Synchronous Reactions into the Fabric of SSA-form Compilers
auteur
Hugo Pompougnac, Ulysse Beaugnon, Albert Cohen, Dumitru Potop Butucaru
article
ACM Transactions on Architecture and Code Optimization, 2022, 19 (2), pp.1-25. ⟨10.1145/3506706⟩
typdoc
Journal articles
DOI
DOI : 10.1145/3506706
Accès au bibtex
BibTex
titre
Model-based fleet deployment in the IoT–edge–cloud continuum
auteur
Hui Song, Rustem Dautov, Nicolas Ferry, Arnor Solberg, Franck Fleurey
article
Software and Systems Modeling, 2022, ⟨10.1007/s10270-022-01006-z⟩
typdoc
Journal articles
DOI
DOI : 10.1007/s10270-022-01006-z
Accès au bibtex
BibTex
titre
Early timing analysis based on scenario requirements and platform models
auteur
Jörg Holtmann, Julien Deantoni, Markus Fockel
article
Software and Systems Modeling, 2022, 21, ⟨10.1007/s10270-022-01002-3⟩
typdoc
Journal articles
DOI
DOI : 10.1007/s10270-022-01002-3
Accès au texte intégral et bibtex
https://inria.hal.science/hal-03375049/file/Early_Timing_Analysis_based_on_Scenario_Requirements_and_Platform_Models.pdf BibTex
titre
Formally verifying consistency of sequence diagrams for safety critical systems
auteur
Xiaohong Chen, Qianqian Liu, Frédéric Mallet, Qin Li, Shubin Cai, Zhi Jin
article
Science of Computer Programming, 2022, 216, pp.102777. ⟨10.1016/j.scico.2022.102777⟩
typdoc
Journal articles
DOI
DOI : 10.1016/j.scico.2022.102777
Accès au bibtex
BibTex
titre
A decade of research on patterns and architectures for IoT security
auteur
Tanusan Rajmohan, Phu Nguyen, Nicolas Ferry
article
Journal of Cybersecurity, 2022, 5 (2), ⟨10.1186/s42400-021-00104-7⟩
typdoc
Journal articles
DOI
DOI : 10.1186/s42400-021-00104-7
Accès au bibtex
BibTex
titre
Compositional Equivalences Based on Open pNets
auteur
Rabéa Ameur-Boulifa, Ludovic Henrio, Eric Madelaine
article
Journal of Logical and Algebraic Methods in Programming, 2022, 131, pp.100842. ⟨10.1016/j.jlamp.2022.100842⟩
typdoc
Journal articles
DOI
DOI : 10.1016/j.jlamp.2022.100842
Accès au texte intégral et bibtex
https://hal.science/hal-03894031/file/WeakBisim.pdf BibTex

Conference papers

titre
Idawi: a decentralized middleware for achieving the full potential of the IoT, the fog, and other difficult computing environments
auteur
Luc Hogie
article
MIDDLEWEDGE 2022 - Proceedings of the 1st Workshop on Middleware for the Edge, Nov 2022, Quebec City, Canada. pp.1-5, ⟨10.1145/3565385.3565876⟩
typdoc
Conference papers
DOI
DOI : 10.1145/3565385.3565876
Accès au bibtex
BibTex
titre
A Service-Oriented Middleware Enabling Decentralised Deployment in Mobile Multihop Networks
auteur
Luc Hogie
article
FMCIoT 2022 - 3rd International Workshop on Architectures for Future Mobile Computing and Internet of Things / collocated with ICSOC 2022 - 20th International Conference on Service-Oriented Computing, Oct 2022, Sevilla, Spain
typdoc
Conference papers
Accès au texte intégral et bibtex
https://inria.hal.science/hal-03886521/file/main.pdf BibTex
titre
Safety analysis of inconsistencies using a formal verification tool for DSML
auteur
Joelle Abou Faysal, Nour Zalmai, Ankica Barisic, Frédéric Mallet
article
DSC 2022 Europe VR - 21th Driving Simulation & Virtual reality Conference Europe, Sep 2022, Strasbourg, France
typdoc
Conference papers
Accès au texte intégral et bibtex
https://inria.hal.science/hal-03846499/file/DSC2022.pdf BibTex
titre
Polyhedral Scheduling and Relaxation of Synchronous Reactive Systems
auteur
Guillaume Iooss, Albert Cohen, Dumitru Potop-Butucaru, Marc Pouzet, Vincent Bregeon, Jean Souyris, Philippe Baufreton
article
IMPACT 2022 - 12th International Workshop on Polyhedral Compilation Techniques, Jun 2022, Budapest, Hungary. pp.1-12
typdoc
Conference papers
Accès au texte intégral et bibtex
https://inria.hal.science/hal-03901645/file/IMPACT2022_Paper4_onesynch.pdf BibTex
titre
Adaptation of an auto-generated code using a model-based approach to verify functional safety in real scenarios
auteur
Joelle Abou Faysal, Nour Zalmai, Ankica Barisic, Frédéric Mallet
article
ERTS 2022 - Embedded Real Time Systems, Jun 2022, Toulouse, France
typdoc
Conference papers
Accès au texte intégral et bibtex
https://hal.science/hal-03611183/file/Full_Paper_ERTS_2_columns.pdf BibTex
titre
The synchronous Logical Execution Time paradigm
auteur
Fabien Siron, Dumitru Potop-Butucaru, Robert de Simone, Damien Chabrol, Amira Methni
article
ERTS 2022 - Embedded real time systems, Jun 2022, Toulouse, France
typdoc
Conference papers
Accès au texte intégral et bibtex
https://inria.hal.science/hal-03694950/file/ERTS_2022_paper_34.pdf BibTex
titre
Towards a Model-Based Serverless Platform for the Cloud-Edge-IoT Continuum
auteur
Nicolas Ferry, Rustem Dautov, Hui Song
article
CCGrid 2022 - 22nd IEEE/ACM International Symposium on Cluster Computing and the Grid : Workshop on Cloud-to-Things continuum: towards the convergence of IoT, Edge and Cloud Computing, May 2022, Taormina, Italy. pp.851-858, ⟨10.1109/CCGrid54584.2022.00101⟩
typdoc
Conference papers
DOI
DOI : 10.1109/CCGrid54584.2022.00101
Accès au texte intégral et bibtex
https://inria.hal.science/hal-03729308/file/Serverless4IoT-19.pdf BibTex

Proceedings

titre
IT&I-2021 : Information Technology and Implementation 2021
auteur
Anatoly Anisimov, Vitaliy Snytyuk, Aldrich Chris, Andreas Pester, Frédéric Mallet, Hiroshi Tanaka, Iurii Krak
article
IT&I-2021 - International Scientific Conference “Information Technology and Implementation, CEUR Workshop Proceedings, CEUR-3132, 2022
typdoc
Proceedings
Accès au bibtex
BibTex

Reports

titre
Asynchronous Contact Tracing, Fighting Pandemics with Internet of Things. Set up of the of oneM2M infrastructure, mobile and web applications
auteur
Alessio Di Dio, Luigi Liquori
article
Inria & Université Cote d'Azur, CNRS, I3S, Sophia Antipolis, France. 2022
typdoc
Reports
Accès au texte intégral et bibtex
https://inria.hal.science/hal-03935906/file/alessio.pdf BibTex
titre
Idawi: a middleware for distributed applications in the IOT, the fog and other multihop dynamic networks
auteur
Luc Hogie
article
[Research Report] CNRS - Centre National de la Recherche Scientifique; Université Côte d'azur; Inria. 2022
typdoc
Reports
Accès au texte intégral et bibtex
https://hal.science/hal-03562184/file/Idawi%20a%20middleware%20for%20distributing%20applications%20in%20the%20IOT%2C%20the%20fog%20and%20other%20multihop%20dynamic%20networks.pdf BibTex

Theses

titre
Specification and compilation of embedded neural networks
auteur
Hugo Pompougnac
article
Autre [cs.OH]. Sorbonne Université, 2022. Français. ⟨NNT : 2022SORUS436⟩
typdoc
Theses
Accès au texte intégral et bibtex
https://theses.hal.science/tel-03997036/file/POMPOUGNAC_Hugo_these_2022.pdf BibTex
titre
Formal rule-based scenarios for the design of safe autonomous vehicles
auteur
Joelle Abou Faysal
article
Modeling and Simulation. Université Côte d'Azur, 2022. English. ⟨NNT : 2022COAZ4031⟩
typdoc
Theses
Accès au texte intégral et bibtex
https://theses.hal.science/tel-03814686/file/2022COAZ4031.pdf BibTex
titre
Multi-view design for cyber-physical systems
auteur
Hui Zhao
article
Software Engineering [cs.SE]. Université Côte d'Azur, 2022. English. ⟨NNT : 2022COAZ4022⟩
typdoc
Theses
Accès au texte intégral et bibtex
https://theses.hal.science/tel-03775554/file/2022COAZ4022.pdf BibTex

Preprints, Working Papers, ...

titre
Modelling Sustainability in Cyber-Physical Systems: A Systematic Mapping Study
auteur
Ankica Barisic, Jácome Cunha, Ivan Ruchkin, Ana Moreira, João Araújo, Moharram Challenger, Dušan Savić, Vasco Amaral
article
2022
typdoc
Preprints, Working Papers, ...
Accès au texte intégral et bibtex
https://hal.science/hal-03616678/file/Sustainable_CPS_SMS__till_2021.pdf BibTex

2021

Journal articles

titre
A clock-based dynamic logic for the verification of CCSL specifications in synchronous systems
auteur
Yuanrui Zhang, Hengyang Wu, Yixiang Chen, Frédéric Mallet
article
Science of Computer Programming, 2021, 203, pp.102591. ⟨10.1016/j.scico.2020.102591⟩
typdoc
Journal articles
DOI
DOI : 10.1016/j.scico.2020.102591
Accès au texte intégral et bibtex
https://inria.hal.science/hal-03135428/file/S0167642320301994.pdf BibTex
titre
A clock-based dynamic logic for schedulability analysis of CCSL specifications
auteur
Yuanrui Zhang, Frédéric Mallet, Huibiao Zhu, Yixiang Chen, Bo Liu, Zhiming Liu
article
Science of Computer Programming, 2021, 202, pp.102546. ⟨10.1016/j.scico.2020.102546⟩
typdoc
Journal articles
DOI
DOI : 10.1016/j.scico.2020.102546
Accès au bibtex
BibTex
titre
Multi-Paradigm Modeling for Cyber-Physical Systems: A Systematic Mapping Review
auteur
Ankica Barisic, Ivan Ruchkin, Dušan Savić, Mustafa Abshir Mohamed, Rima Al-Ali, Letitia W Li, Hana Mkaouar, Raheleh Eslampanah, Moharram Challenger, Dominique Blouin, Oksana Nikiforova, Antonio Cicchetti
article
Journal of Systems and Software, 2021, ⟨10.1016/j.jss.2021.111081⟩
typdoc
Journal articles
DOI
DOI : 10.1016/j.jss.2021.111081
Accès au texte intégral et bibtex
https://hal.science/hal-03338594/file/MPM4CPS_SLR.pdf BibTex

Conference papers

titre
Enumeration and Deduction Driven Co-Synthesis of CCSL Specifications Using Reinforcement Learning
auteur
Ming Hu, Jiepin Ding, Min Zhang, Frédéric Mallet, Mingsong Chen
article
RTSS 2021 - IEEE Real-Time Systems Symposium, Dec 2021, Dortmund / Virtual, Germany. pp.227-239, ⟨10.1109/RTSS52674.2021.00030⟩
typdoc
Conference papers
DOI
DOI : 10.1109/RTSS52674.2021.00030
Accès au texte intégral et bibtex
https://inria.hal.science/hal-03525306/file/ccsl_rtss_camera_ready_author.pdf BibTex
titre
Supporting the Engineering of Multi-Fidelity Simulation Units With Simulation Goals
auteur
João Cambeiro, Julien Deantoni, Vasco Amaral
article
International Workshop on Multi-Paradigm Modeling for Cyber-Physical Systems (MPM4CPS'21), Oct 2021, Fukuoka, Japan
typdoc
Conference papers
Accès au texte intégral et bibtex
https://inria.hal.science/hal-03374990/file/MPM4CPS2021_Cambeiro_hal.pdf BibTex
titre
Vérification d'applications temps-réel basées sur le paradigme de Logical Execution Time (LET)
auteur
Fabien Siron, Dumitru Potop-Butucaru, Robert de Simone, Damien Chabrol, Amira Methni
article
École d’Été Temps Réel 2021, Sep 2021, Poitiers, France
typdoc
Conference papers
Accès au texte intégral et bibtex
https://inria.hal.science/hal-03545758/file/etr21.pdf BibTex
titre
Debugging and Verification Tools for LINGUA FRANCA in GEMOC Studio
auteur
Julien Deantoni, João Cambeiro, Soroush Bateni, Shaokai Lin, Marten Lohstroh
article
FDL 2021 - Forum on specification & Design Languages, Sep 2021, Antibes, France. ⟨10.1109/FDL53530.2021.9568383⟩
typdoc
Conference papers
DOI
DOI : 10.1109/FDL53530.2021.9568383
Accès au texte intégral et bibtex
https://inria.hal.science/hal-03374955/file/FDL21.pdf BibTex
titre
WIP: Domain Specific Debugging by using RUNSTAR
auteur
Ryana Karaki, Ludovic Marti, Julien Deantoni
article
FDL 2021 - Forum on specification & Design Languages, Sep 2021, Antibes, France
typdoc
Conference papers
Accès au texte intégral et bibtex
https://inria.hal.science/hal-03375006/file/DSL_debugging_by_non_intrusive_annotation_of_concrete_syntax.pdf BibTex
titre
Programming and verifying real-time design using logical time
auteur
Fabien Siron, Dumitru Potop-Butucaru, Robert de Simone, Damien Chabrol, Amira Methni
article
FDL 2021 - Forum on specification & Design Languages, Sep 2021, Antibes, France
typdoc
Conference papers
Accès au texte intégral et bibtex
https://inria.hal.science/hal-03537976/file/FDL21_paper_30.pdf BibTex
titre
Towards a Sustainable IoT with Last-Mile Software Deployment
auteur
Rustem Dautov, Hui Song, Nicolas Ferry
article
ISCC 2021 - IEEE Symposium on Computers and Communications, Sep 2021, Athens, Greece. pp.1-6, ⟨10.1109/ISCC53001.2021.9631250⟩
typdoc
Conference papers
DOI
DOI : 10.1109/ISCC53001.2021.9631250
Accès au bibtex
BibTex
titre
EPSAAV: An Extensible Platform for Safety Analysis of Autonomous Vehicles
auteur
Joelle Abou Faysal, Nour Zalmai, Ankica Barisic, Frédéric Mallet
article
MEDI 2021 - 10th International Conference on Model and Data Engineering, Jun 2021, Tallinn, Estonia. ⟨10.1007/978-3-030-87657-9_8⟩
typdoc
Conference papers
DOI
DOI : 10.1007/978-3-030-87657-9_8
Accès au texte intégral et bibtex
https://hal.science/hal-03331190/file/SIAS2021_paper_3%20%281%29.pdf BibTex
titre
Understanding microarchitectural effects on the performance of parallel applications
auteur
Carsten Bruns, Sid Touati
article
HPCS 2020 - 18th International Conference on High Performance Computing & Simulation, Mar 2021, Virtual, Spain
typdoc
Conference papers
Accès au texte intégral et bibtex
https://inria.hal.science/hal-03195799/file/understanding_uarch_effects_on_par_perf_article.pdf BibTex

Book sections

titre
The ENACT approach
auteur
Nicolas Ferry, Hui Song, Erkuden Rios, Andreas Metzger
article
DevOps for Trustworthy Smart IoT Systems, Now Publishers, 2021, ⟨10.1561/9781680838251.ch2⟩
typdoc
Book sections
DOI
DOI : 10.1561/9781680838251.ch2
Accès au bibtex
BibTex
titre
Introduction
auteur
Erkuden Rios, Nicolas Ferry, Hui Song, Andreas Metzger
article
DevOps for Trustworthy Smart IoT Systems, Now Publishers, 2021, ⟨10.1561/9781680838251.ch1⟩
typdoc
Book sections
DOI
DOI : 10.1561/9781680838251.ch1
Accès au bibtex
BibTex
titre
Model-based Continuous Deployment of SIS
auteur
Nicolas Ferry, Hui Song, Rustem Dautov, Phu Nguyen, Franck Chauvel
article
DevOps for Trustworthy Smart IoT Systems, Now Publishers, 2021, ⟨10.1561/9781680838251.ch4⟩
typdoc
Book sections
DOI
DOI : 10.1561/9781680838251.ch4
Accès au bibtex
BibTex

Master thesis

titre
Asynchronous Contact Tracing, Fighting Pandemics with Internet of Things
auteur
Abdul Qadir Khan
article
Networking and Internet Architecture [cs.NI]. 2021
typdoc
Master thesis
Accès au texte intégral et bibtex
https://inria.hal.science/hal-03410027/file/Final_Report_of_Internship_Asynchronous%20Contact%20Tracing.pdf BibTex

Other publications

titre
ETSI Technical Specification TS 103757. SmartM2M; Asynchronous Contact Tracing System
auteur
Luigi Liquori, Enrico Scarrone, Suno Wood, Lanting Cees, Francisco Dasilva, Markus Maass, Flynn Bob, Thomas Kessler, Holoyad Taras, Massimo Vanetti
article
2021
typdoc
Other publications
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02989793/file/ACT-ts_103757v020101p.pdf BibTex
titre
ETSI SmartM2M Technical Report 103717; Study for oneM2M; Discovery and Query specification development
auteur
Seung Myeong Jeong, Sunil Kumar, Andrea Cimmino, Raúl García Castro, Luigi Liquori, Marie-Agnès Peraldi-Frati, Enrico Scarrone, Joachim Koss, Flynn Bob
article
2021
typdoc
Other publications
Accès au texte intégral et bibtex
https://inria.hal.science/hal-03261080/file/tr_103717v010101p-beta%20%281%29.pdf BibTex
titre
ETSI SmartM2M Technical Report 103716; oneM2M Discovery and Query solution(s) simulation and performance evaluation
auteur
Luigi Liquori, Marie-Agnès Peraldi-Frati, Andrea Cimmino, Raúl García Castro, Abdul Qadir Khan, Sara El Khatab, Oleksii Khramov, Enrico Scarrone, Joachim Koss
article
2021
typdoc
Other publications
Accès au texte intégral et bibtex
https://inria.hal.science/hal-03261059/file/tr_103716v010101p.pdf BibTex
titre
ETSI SmartM2M Technical Report 103714: Study for oneM2M Discovery and Query use cases and requirements
auteur
Luigi Liquori, Enrico Scarrone, Marie-Agnès Peraldi-Frati, Andrea Cimmino, Raúl García Castro, Joachim Koss, Seung Myeong Jeong
article
2021
typdoc
Other publications
Accès au texte intégral et bibtex
https://inria.hal.science/hal-03115482/file/tr_103714v010101p.pdf BibTex
titre
ETSI SmartM2M Technical Report 103715; Study for oneM2M; Discovery and Query solutions analysis & selection
auteur
Luigi Liquori, Enrico Scarrone, Marie-Agnès Peraldi-Frati, Seung Myeong Jeong, Andrea Cimmino, Raúl García Castro, Joachim Koss, Abdul Qadir Khan, Sunil Kumar, Sara El Khatab
article
2021
typdoc
Other publications
Accès au texte intégral et bibtex
https://inria.hal.science/hal-03115497/file/tr_103715v010101p.pdf BibTex

Books

titre
DevOps for Trustworthy Smart IoT Systems
auteur
Nicolas Ferry, Hui Song, Andreas Metzger, Erkuden Rios
article
Now Publishers, 2021, ⟨10.1561/9781680838251⟩
typdoc
Books
DOI
DOI : 10.1561/9781680838251
Accès au bibtex
BibTex

Poster communications

titre
Model-driven approach for the design of multi-chain smart contracts
auteur
Ankica Barisic, Enlin Zhu, Frédéric Mallet
article
3rd Conference on Blockchain Research & Applications for Innovative Networks and Services (BRAINS), Sep 2021, Paris, France. ⟨10.1109/BRAINS52497.2021.9569809⟩
typdoc
Poster communications
DOI
DOI : 10.1109/BRAINS52497.2021.9569809
Accès au texte intégral et bibtex
https://hal.science/hal-03338936/file/BRAINS_SmartContract_Poster_.pdf BibTex
titre
An Approach to Ensure Safety of Autonomous Vehicles in Planned Trajectories
auteur
Joelle Abou Faysal, Nour Zalmai, Ankica Barisic, Frédéric Mallet
article
FDL 2021 Forum on specification & Design Languages, Sep 2021, ANTIBES, France
typdoc
Poster communications
Accès au texte intégral et bibtex
https://hal.science/hal-03331199/file/FDL21_paper_31.pdf BibTex

Reports

titre
Bounding memory access interferences on the Kalray MPPA3 compute cluster
auteur
Dumitru Potop-Butucaru, Jad Khatib, Philippe Baufreton
article
[Research Report] RR-9404, Inria. 2021, pp.24
typdoc
Reports
Accès au texte intégral et bibtex
https://inria.hal.science/hal-03207510/file/RR-9404.pdf BibTex
titre
Symbolic Weak Equivalences: Extension, Algorithms, and Minimization - Extended version
auteur
Biyang Wang, Eric Madelaine, Min Zhang
article
[Research Report] RR-9389, Inria & Université Cote d'Azur, CNRS, I3S, Sophia Antipolis, France; East China Normal University (Shanghai). 2021, pp.71
typdoc
Reports
Accès au texte intégral et bibtex
https://inria.hal.science/hal-03126313/file/RR9389.pdf BibTex

Software

titre
An Independent C-library for Register Pressure Reduction in Data Dependence Graphs.
auteur
Sébastien Briais, Sid Touati
article
2021, ⟨swh:1:dir:0b9ea5cf3f444bf0920d99d5df58af2b6b484133;origin=https://hal.archives-ouvertes.fr/hal-03445804;visit=swh:1:snp:dc58dc9c3866979847adb3ee5202b8e14ac7b9cd;anchor=swh:1:rel:b0827bb530d7e2258121543e63e06de4b2c640c0;path=/⟩
typdoc
Software
Accès au texte intégral et bibtex
https://hal.science/hal-03445804/file/SIRAlib-1.5.tar.gz BibTex
titre
A C-library for Register Saturation Computation
auteur
Sébastien Briais, Sid Touati
article
2021, ⟨swh:1:dir:353f14fe73608aeb968e1b7d961293c4292bcc91;origin=https://hal.archives-ouvertes.fr/hal-03445821;visit=swh:1:snp:f19987035256ae2536dabfadf31f38afa689e5d7;anchor=swh:1:rel:20809d0c43faec53646297b5271a12e9beec8f24;path=/⟩
typdoc
Software
Accès au texte intégral et bibtex
https://hal.science/hal-03445821/file/RSlib-1.2.tar.gz BibTex
titre
FADAlib: an open source C++ library for fuzzy array dataflow analysis
auteur
Marouane Belaoucha, Christophe Alias, Denis Barthou, Sid Touati
article
2021, ⟨swh:1:dir:fc7481ee438316b9ce5b273ca894114bf658d3d9;origin=https://hal.archives-ouvertes.fr/hal-03445991;visit=swh:1:snp:518f2d28a2d2a1ad15ee2f630b40be3e24a0f8b1;anchor=swh:1:rel:488f5aa5aaa21fc92f24f0f7c9b571e56f1325ec;path=/⟩
typdoc
Software
Accès au texte intégral et bibtex
https://hal.science/hal-03445991/file/fadalib-0.1.12.tar.gz BibTex
titre
A C++ implementation of a O(n log n) algorithm computing a maximal stable set of an interval graph
auteur
Sid Touati
article
2021, ⟨swh:1:dir:95986a410683154bc0c9d55a2331839b74993c3d;origin=https://hal.archives-ouvertes.fr/hal-03445937;visit=swh:1:snp:63bdc74d56c678b7fa433ed87f0a4654050d02a3;anchor=swh:1:rel:9906213d31fdd2ce4d04d281a9b47747038836f1;path=/⟩
typdoc
Software
Accès au texte intégral et bibtex
https://hal.science/hal-03445937/file/MSSIG-1.tar.gz BibTex
titre
Dilworth Decomposition in C++ using the LEDA Graph library
auteur
Sid Touati
article
2021, ⟨swh:1:dir:96814a40df85e15f23dec922f30ed0ce5c3f6336;origin=https://hal.archives-ouvertes.fr/hal-03445891;visit=swh:1:snp:a39dbdfa45e4a236e06b22efb7f9495b7b621416;anchor=swh:1:rel:945df584d6c66ea6973544f084124038c4d0a51f;path=/⟩
typdoc
Software
Accès au texte intégral et bibtex
https://hal.science/hal-03445891/file/DilworthDecomposition-1.tar.gz BibTex
titre
DDG : A C++ High Level Data Dependence Graph Library
auteur
Sid Touati
article
2021, ⟨swh:1:dir:4f3c8565cd0458811546f15c8cdbd45d7b7d5e99;origin=https://hal.archives-ouvertes.fr/hal-03445736;visit=swh:1:snp:02f0329065aa0998f15261721418c6af35ffbf8e;anchor=swh:1:rel:44f40b0bdf1f3004777f705b5beaf0d5b1f8ac43;path=/⟩
typdoc
Software
Accès au texte intégral et bibtex
https://hal.science/hal-03445736/file/DDG-2.0.tar.gz BibTex

Theses

titre
Complex systems co-simulation with the CoSim20 framework : for efficient and accurate distributed co-simulations
auteur
Giovanni Liboni
article
Computer Aided Engineering. Université Côte d'Azur, 2021. English. ⟨NNT : 2021COAZ4019⟩
typdoc
Theses
Accès au texte intégral et bibtex
https://theses.hal.science/tel-03382774/file/2021COAZ4019.pdf BibTex

Preprints, Working Papers, ...

titre
Literature Classification Data for a Systematic Mapping Study on Multi-Paradigm Modeling for Cyber-Physical Systems
auteur
Ankica Barisic, Antonio Cicchetti, Ivan Ruchkin, Dominique Blouin
article
2021
typdoc
Preprints, Working Papers, ...
Accès au texte intégral et bibtex
https://hal.science/hal-03338607/file/ClasLiteratureMPM4CPS.pdf BibTex

2020

Journal articles

titre
A prototype-based approach to object evolution
auteur
Alberto Ciaffaglione, Pietro Di Gianantonio, Furio Honsell, Luigi Liquori
article
The Journal of Object Technology, 2020, 20 (4), pp.1--24
typdoc
Journal articles
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01646168/file/JOT_camera_ready.pdf BibTex
titre
Editorial - Theoretical Aspects of Software Engineering (2017)
auteur
Frédéric Mallet, Min Zhang
article
Science of Computer Programming, 2020, 198, pp.102521. ⟨10.1016/j.scico.2020.102521⟩
typdoc
Journal articles
DOI
DOI : 10.1016/j.scico.2020.102521
Accès au bibtex
BibTex
titre
TRAP: trace runtime analysis of properties
auteur
Daian Yue, Vania Joloboff, Frédéric Mallet
article
Frontiers of Computer Science, 2020, 14 (3), pp.1-15. ⟨10.1007/s11704-018-7217-7⟩
typdoc
Journal articles
DOI
DOI : 10.1007/s11704-018-7217-7
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02402957/file/fcs-17217.pdf BibTex
titre
A verification framework for spatio-temporal consistency language with CCSL as a specification language
auteur
Yuanrui Zhang, Frédéric Mallet, Yixiang Chen
article
Frontiers of Computer Science, 2020, 14 (1), pp.105--129. ⟨10.1007/s11704-018-7054-8⟩
typdoc
Journal articles
DOI
DOI : 10.1007/s11704-018-7054-8
Accès au bibtex
BibTex

Conference papers

titre
Formally Verifying Sequence Diagrams for Safety Critical Systems
auteur
Xiaohong Chen, Frédéric Mallet, Xiaoshan Liu
article
TASE 2020 - 14th International Symposium on Theoretical Aspects of Software Engineering, Dec 2020, Hangzhou, China. pp.217-224, ⟨10.1109/TASE49443.2020.00037⟩
typdoc
Conference papers
DOI
DOI : 10.1109/TASE49443.2020.00037
Accès au texte intégral et bibtex
https://inria.hal.science/hal-03121933/file/Tase2020.pdf BibTex
titre
Multiform Logical Time & Space for Mobile Cyber-Physical System with Automated Driving Assistance System
auteur
Qian Liu, Robert de Simone, Xiaohong Chen, Jing Liu
article
APSEC 2020 - Asia-Pacific Software Engineering Conference, Dec 2020, Singapour, Singapore
typdoc
Conference papers
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02952919/file/apsec2020-1.pdf BibTex
titre
CoSim20: An Integrated Development Environment for Accurate and Efficient Distributed Co-Simulations
auteur
Giovanni Liboni, Julien Deantoni
article
ICISE 2020 - 5th International Conference on Information Systems Engineering, Nov 2020, Manchester / Virtual, United Kingdom
typdoc
Conference papers
Accès au texte intégral et bibtex
https://inria.hal.science/hal-03038547/file/icise21.pdf BibTex
titre
Multiform Logical Time & Space for Specification of Automated Driving Assistance Systems: Work-in-Progress
auteur
Qian Liu, Robert de Simone, Xiaohong Chen, Jing Liu
article
EMSOFT 2020 - International Conference on Embedded Software, Sep 2020, Hamburg / Virtual, Germany
typdoc
Conference papers
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02952912/file/1233_Paper-2.pdf BibTex
titre
A Case Study on Formally Validating Motion Rules for Autonomous Cars
auteur
Mario Henrique Cruz Torres, Jean-Pierre Giacalone, Joelle Abou Faysal
article
SEFM 2020 - Collocated Workshops - Software Engineering and Formal Methods, Sep 2020, Amsterdam, Netherlands. pp.233-248
typdoc
Conference papers
Accès au texte intégral et bibtex
https://hal.science/hal-03331230/file/Formally_validating_motion_rules_for_autonomous_cars.pdf BibTex
titre
A Semantic-Aware, Accurate and Efficient API for (Co-)Simulation of CPS
auteur
Giovanni Liboni, Julien Deantoni
article
CoSim-CPS 2020 - Software Engineering and Formal Methods. SEFM 2020 Collocated Workshops, Sep 2020, Amsterdam / Online, Netherlands. ⟨10.1007/978-3-030-67220-1_21⟩
typdoc
Conference papers
DOI
DOI : 10.1007/978-3-030-67220-1_21
Accès au texte intégral et bibtex
https://inria.hal.science/hal-03038527/file/CoSim_CPS2020_lastDraft.pdf BibTex
titre
A Type Checker for a Logical Framework with Union and Intersection Types
auteur
Claude Stolze, Luigi Liquori
article
FSCD 2020 - 5th International Conference on Formal Structures for Computation and Deduction, Jun 2020, Paris, France. ⟨10.4230/LIPIcs.FSCD.2020⟩
typdoc
Conference papers
DOI
DOI : 10.4230/LIPIcs.FSCD.2020
Accès au texte intégral et bibtex
https://hal.science/hal-02573605/file/article.pdf BibTex
titre
Modeling and Verifying Uncertainty-Aware Timing Behaviors using Parametric Logical Time Constraint
auteur
Fei Gao, Frédéric Mallet, Min Zhang, Mingsong Chen
article
DATE 2020 - Design, Automation and Test in Europe Conference, Mar 2020, Grenoble, France
typdoc
Conference papers
Accès au texte intégral et bibtex
https://hal.science/hal-02429533/file/main.pdf BibTex
titre
Early validation of satellite COTS-on-board computing systems
auteur
Philippe Cuenot, Paul Bouche, Robert de Simone, Julien Deantoni, Amin Oueslati
article
ERTS 2020 - 10th European Congress on Embedded Real-Time Software and Systems, Jan 2020, Toulouse, France
typdoc
Conference papers
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02413867/file/ERTS2020_paper_16.pdf BibTex
titre
Efficient fine-grain parallelism in shared memory for real-time avionics
auteur
Philippe Baufreton, Vincent Bregeon, Keryan Didier, Guillaume Iooss, Dumitru Potop-Butucaru, Jean Souyris
article
ERTS 2020 - 10th European Congress Embedded Real Time Systems, Jan 2020, Toulouse, France
typdoc
Conference papers
Accès au bibtex
BibTex
titre
Symbolic Bisimulation for Open and Parameterized Systems
auteur
Zechen Hou, Eric Madelaine
article
PEPM 2020 - ACM SIGPLAN Workshop on Partial Evaluation and Program Manipulation, Jan 2020, New-Orleans, United States. ⟨10.1145/3372884.3373161⟩
typdoc
Conference papers
DOI
DOI : 10.1145/3372884.3373161
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02406098/file/poplws20pepmmain-p7-p-79d1b9f-43140-final.pdf BibTex

Book sections

titre
A Model-Based Combination Language for Scheduling Verification
auteur
Hui Zhao, Ludovic Apvrille, Frédéric Mallet
article
Model-Driven Engineering and Software Development, Springer International Publishing, 2020
typdoc
Book sections
Accès au texte intégral et bibtex
https://telecom-paris.hal.science/hal-02430903/file/main.pdf BibTex

Reports

titre
From SSA to Synchronous Concurrency and Back
auteur
Hugo Pompougnac, Ulysse Beaugnon, Albert Cohen, Dumitru Potop-Butucaru
article
[Research Report] RR-9380, INRIA Sophia Antipolis - Méditerranée (France). 2020, pp.23
typdoc
Reports
Accès au texte intégral et bibtex
https://inria.hal.science/hal-03043623/file/RR-9380.pdf BibTex

Preprints, Working Papers, ...

titre
Asynchronous Contact Tracing
auteur
Luigi Liquori, Suno Wood, Enrico Scarrone
article
2020
typdoc
Preprints, Working Papers, ...
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02989404/file/Academia_Asynchronous_Contact_Tracing%201.1.pdf BibTex
titre
1-Synchronous Programming of Large Scale, Multi-Periodic Real-Time Applications with Functional Degrees of Freedom
auteur
Guillaume Iooss, Marc Pouzet, Albert Cohen, Dumitru Potop-Butucaru, Jean Souyris, Vincent Bregeon, Philippe Baufreton
article
2020
typdoc
Preprints, Working Papers, ...
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02495471/file/paper_1sync_hal.pdf BibTex

2019

Journal articles

titre
Correct-by-Construction Parallelization of Hard Real-Time Avionics Applications on Off-the-Shelf Predictable Hardware
auteur
Keryan Didier, Dumitru Potop-Butucaru, Guillaume Iooss, Albert Cohen, Jean Souyris, Philippe Baufreton, Amaury Graillat
article
ACM Transactions on Architecture and Code Optimization, 2019, 16 (3), pp.1-27. ⟨10.1145/3328799⟩
typdoc
Journal articles
DOI
DOI : 10.1145/3328799
Accès au bibtex
BibTex
titre
A Framework to Specify System Requirements using Natural interpretation of UML/MARTE diagrams
auteur
Aamir Khan, Frédéric Mallet, Muhammad Rashid
article
Software and Systems Modeling, 2019, 18 (1), pp.11-37. ⟨10.1007/s10270-017-0588-7⟩
typdoc
Journal articles
DOI
DOI : 10.1007/s10270-017-0588-7
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01670423/file/SoSyM2019.pdf BibTex
titre
A Unifying Framework for Homogeneous Model Composition
auteur
Jörg Kienzle, Gunter Mussbacher, Benoit Combemale, Julien Deantoni
article
Software and Systems Modeling, 2019, pp.1-19. ⟨10.1007/s10270-018-00707-8⟩
typdoc
Journal articles
DOI
DOI : 10.1007/s10270-018-00707-8
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01949050/file/sosym-unifiedcompositionframework.pdf BibTex
titre
System Based Interference Analysis in Capella
auteur
Amin Oueslati, Philippe Cuenot, Julien Deantoni, Christophe Moreno
article
The Journal of Object Technology, 2019, 18 (2), pp.14:1. ⟨10.5381/jot.2019.18.2.a14⟩
typdoc
Journal articles
DOI
DOI : 10.5381/jot.2019.18.2.a14
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02182902/file/article14.pdf BibTex

Conference papers

titre
A Network Aware Resource Discovery Service
auteur
Luigi Liquori, Rossano Gaeta, Matteo Sereno
article
EPEW 2019 - 16th European Performance Engineering Workshop, Nov 2019, Milano, Italy. ⟨10.1007/978-3-030-44411-2\_6⟩
typdoc
Conference papers
DOI
DOI : 10.1007/978-3-030-44411-2\_6
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01895452/file/paper8.pdf BibTex
titre
Preface to the 1st Multi-Paradigm Modeling for Cyber-Physical Systems (MPM4CPS 2019)
auteur
Simon van Mierlo, Eugene Syriani, Dominique Blouin, Moussa Amrani, Julien Deantoni, Manuel Wimmer
article
MODELS Conference 2019 - ACM/IEEE 22nd International Conference on Model Driven Engineering Languages and Systems, Sep 2019, Munich, Germany. pp.2, ⟨10.1109/MODELS-C.2019.00066⟩
typdoc
Conference papers
DOI
DOI : 10.1109/MODELS-C.2019.00066
Accès au bibtex
BibTex
titre
Towards Sketching Interfaces for Multi-Paradigm Modeling
auteur
Simon Van Mierlo, Julien Deantoni, Loli Burgueño, Clark Verbrugge, Hans Vangheluwe
article
MPM4CPS - First International Workshop on Multi-Paradigm Modelling for Cyber-Physical Systems, Sep 2019, Munich, Germany
typdoc
Conference papers
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02336809/file/Towards_Sketching_Interfaces_for_Multi_Paradigm_Modelling.pdf BibTex
titre
WIP on a Coordination Language to Automate the Generation of Co-Simulations
auteur
Giovanni Liboni, Julien Deantoni
article
FDL 2019 - Forum on specification & Design Languages, Sep 2019, Southampton, United Kingdom
typdoc
Conference papers
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02292048/file/FDL2019_DRAFT.pdf BibTex
titre
A Logical Approach for the Schedulability Analysis of CCSL
auteur
Yuanrui Zhang, Frédéric Mallet, Huibiao Zhu, Yixiang Chen
article
TASE 2019 - 13th International Symposium on Theoretical Aspects of Software Engineering, Jul 2019, Guilin, China. pp.25-32, ⟨10.1109/TASE.2019.00-23⟩
typdoc
Conference papers
DOI
DOI : 10.1109/TASE.2019.00-23
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02402976/file/TASE_2019_paper_30_190125.pdf BibTex
titre
The Delta-calculus: syntax and types
auteur
Luigi Liquori, Claude Stolze
article
FSCD 2019 - 4th International Conference on Formal Structures for Computation and Deduction, Jun 2019, Dortmund, Germany. ⟨10.1007/978-3-030-44411-2\_6⟩
typdoc
Conference papers
DOI
DOI : 10.1007/978-3-030-44411-2\_6
Accès au texte intégral et bibtex
https://hal.science/hal-01963662/file/delta-calculus.pdf BibTex
titre
Sheep in wolf's Clothing: Implementation Models for Dataflow Multi-Threaded Software
auteur
Keryan Didier, Albert Cohen, Dumitru Potop-Butucaru, Adrien Gauffriau
article
ACSD 2019 - 19th International Conference on Application of Concurrency to System Design, Jun 2019, Aachen, Germany. pp.43-52, ⟨10.1109/ACSD.2019.00009⟩
typdoc
Conference papers
DOI
DOI : 10.1109/ACSD.2019.00009
Accès au bibtex
BibTex
titre
Verification of concurrent design patterns with data
auteur
Simon Bliudze, Ludovic Henrio, Eric Madelaine
article
COORDINATION 2019 - 21st International Conference on Coordination Models and Languages, Jun 2019, Kongens Lyngby, Denmark. pp.161-181, ⟨10.1007/978-3-030-22397-7_10⟩
typdoc
Conference papers
DOI
DOI : 10.1007/978-3-030-22397-7_10
Accès au texte intégral et bibtex
https://hal.science/hal-02143782/file/paper_18.pdf BibTex
titre
Sample-Guided Automated Synthesis for CCSL Specifications
auteur
Ming Hu, Tongquan Wei, Min Zhang, Frédéric Mallet, Mingsong Chen
article
DAC 2019 - 56th Annual Design Automation Conference 2019, Jun 2019, Las Vegas, United States. pp.1-6, ⟨10.1145/3316781.3317904⟩
typdoc
Conference papers
DOI
DOI : 10.1145/3316781.3317904
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02402971/file/DAC19_authorversion.pdf BibTex
titre
SMT-Based Bounded Schedulability Analysis of the Clock Constraint Specification Language
auteur
Min Zhang, Fu Song, Frédéric Mallet, Xiaohong Chen
article
FASE 2019 - Fundamental Approaches to Software Engineering, Apr 2019, Prague, Czech Republic. pp.61-78, ⟨10.1007/978-3-030-16722-6_4⟩
typdoc
Conference papers
DOI
DOI : 10.1007/978-3-030-16722-6_4
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02080763/file/paper_30.pdf BibTex
titre
Priority in Logical Time Partial Orders with Synchronous Relations
auteur
Régis Gascon, Julien Deantoni, Jean-François Le Tallec
article
IEEE RIVF 2019 - Research, Innovation and Vision for the Future, Mar 2019, Danang, Vietnam
typdoc
Conference papers
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02078493/file/RIVF19_priority.pdf BibTex
titre
Meta-models Combination for Reusing Verification Techniques
auteur
Hui Zhao, Ludovic Apvrille, Frédéric Mallet
article
MODELSWARD 2019 - 7th International Conference on Model-Driven Engineering and Software Development, Feb 2019, Prague, Czech Republic. pp.39-50, ⟨10.5220/0007261000390050⟩
typdoc
Conference papers
DOI
DOI : 10.5220/0007261000390050
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02080768/file/libre%20%281%29.pdf BibTex

Book sections

titre
Time in SCCharts
auteur
Alexander Schulz-Rosengarten, Reinhard von Hanxleden, Frédéric Mallet, Robert de Simone, Julien Deantoni
article
Language, Design Methods, and Tools for Electronic System Design, Springer, pp.1-25, 2019, ⟨10.1007/978-3-030-31585-6_1⟩
typdoc
Book sections
DOI
DOI : 10.1007/978-3-030-31585-6_1
Accès au bibtex
BibTex

Habilitation à diriger des recherches

titre
Towards Formal System Modeling: Making Explicit and Formal the Concurrent and Timed Operational Semantics to Better Understand Heterogeneous Models
auteur
Julien Deantoni
article
Embedded Systems. Université Côte d'Azur, CNRS, I3S, France, 2019
typdoc
Habilitation à diriger des recherches
Accès au texte intégral et bibtex
https://inria.hal.science/tel-02427962/file/HDR.pdf BibTex

Reports

titre
Empirical study of Amdahl’s law on multicore processors
auteur
Carsten Bruns, Sid Touati
article
[Research Report] RR-9311, INRIA Sophia-Antipolis Méditerranée; Université Côte d'Azur, CNRS, I3S, France. 2019
typdoc
Reports
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02404346/file/main-RR-scalability.pdf BibTex
titre
Symbolic Bisimulation for Open and Parameterized Systems - Extended version
auteur
Zechen Hou, Eric Madelaine, Jing Liu, Yuxin Deng
article
[Research Report] RR-9304, Inria & Université Cote d'Azur, CNRS, I3S, Sophia Antipolis, France; East China Normal University (Shanghai). 2019, pp.47
typdoc
Reports
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02376147/file/RR-9304.pdf BibTex
titre
Framework to Relate / Combine Modeling Languages and Techniques
auteur
Rima Al-Ali, Moussa Amrani, Soumyadip Bandyopadhyay, Ankica Barisic, Fernando Barros, Dominique Blouin, Ferhat Erata, Holger Giese, Mauro Iacono, Stefan Klikovits, Eva Navarro, Patrizio Pelliccione, Kuldar Taveter, Bedir Tekinerdogan, Ken Vanherpen
article
[Technical Report] COST European Cooperation in Science and Technology. 2019
typdoc
Reports
Accès au texte intégral et bibtex
https://hal.science/hal-03168831/file/Al-Ali%20et%20al.%20-%202019%20-%20COST%20IC1404%20WG1%20Deliverable%20WG1.2%20Framework%20to%20Relate%20Combine%20Modeling%20Languages%20and%20Techniques-annotated.pdf BibTex

Theses

titre
Combining union, intersection and dependent types in an explicitely typed lambda-calculus
auteur
Claude Stolze
article
Logic [math.LO]. COMUE Université Côte d'Azur (2015 - 2019), 2019. English. ⟨NNT : 2019AZUR4104⟩
typdoc
Theses
Accès au texte intégral et bibtex
https://hal.science/tel-02406953/file/2019AZUR4104.pdf BibTex

2018

Journal articles

titre
pCSSL: A stochastic extension to MARTE/CCSL for modeling uncertainty in Cyber Physical Systems
auteur
Dehui Du, Ping Huang, Kaiqiang Jiang, Frédéric Mallet
article
Science of Computer Programming, 2018, 166, pp.71 - 88. ⟨10.1016/j.scico.2018.05.005⟩
typdoc
Journal articles
DOI
DOI : 10.1016/j.scico.2018.05.005
Accès au bibtex
BibTex
titre
Periodic scheduling for MARTE/CCSL: Theory and practice
auteur
Min Zhang, Feng Dai, Frédéric Mallet
article
Science of Computer Programming, 2018, 154, pp.42-60. ⟨10.1016/j.scico.2017.08.015⟩
typdoc
Journal articles
DOI
DOI : 10.1016/j.scico.2017.08.015
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01670450/file/main.pdf BibTex
titre
Plugging-in Proof Development Environments using Locks in LF
auteur
Furio Honsell, Luigi Liquori, Petar Maksimovic, Ivan Scagnetto
article
Mathematical Structures in Computer Science, 2018, 28 (9), pp.1578--1605
typdoc
Journal articles
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01272647/file/MSCS2016.pdf BibTex

Conference papers

titre
From Logical Time Scheduling to Real-Time Scheduling
auteur
Frédéric Mallet, Min Zhang
article
39th IEEE Real-Time Systems Symposium, Dec 2018, Nashville, United States
typdoc
Conference papers
Accès au bibtex
BibTex
titre
The Delta-framework
auteur
Furio Honsell, Luigi Liquori, Claude Stolze, Ivan Scagnetto
article
38th IARCS Annual Conference on Foundations of Software Technology and Theoretical Computer Science, (FSTTCS) 2018, Dec 2018, Ahmedabad, India. pp.37:1--37:21, ⟨10.4230/LIPIcs.FSTTCS.2018.37⟩
typdoc
Conference papers
DOI
DOI : 10.4230/LIPIcs.FSTTCS.2018.37
Accès au texte intégral et bibtex
https://hal.science/hal-01701934/file/Delta-framework.pdf BibTex
titre
xSHS: An Executable Domain-Specific Modeling Language for Modeling Stochastic and Hybrid Behaviors of Cyber-Physical Systems
auteur
Chunlin Guan, Yi Ao, Dehui Du, Frédéric Mallet
article
APSEC 2018 - 25th Asia-Pacific Software Engineering Conference, Dec 2018, Nara, Japan
typdoc
Conference papers
Accès au bibtex
BibTex
titre
Embedding CCSL into Dynamic Logic: A Logical Approach for the Verification of CCSL Specifications
auteur
Yuanrui Zhang, Hengyang Wu, Yixiang Chen, Frédéric Mallet
article
ICFEM / FTSCS 2018, Nov 2018, Gold Coast, Australia
typdoc
Conference papers
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01929184/file/main.pdf BibTex
titre
Time in SCCharts
auteur
Alexander Schulz-Rosengarten, Reinhard von Hanxleden, Frédéric Mallet, Robert de Simone, Julien Deantoni
article
Forum on specification & Design Languages, Sep 2018, Munich, Germany. pp.5-16, ⟨10.1109/FDL.2018.8524111⟩
typdoc
Conference papers
DOI
DOI : 10.1109/FDL.2018.8524111
Accès au bibtex
BibTex
titre
Model-Based Systems Engineering for Cyber-Physical Systems: a (possible) roadmap for MARTE
auteur
Frédéric Mallet
article
3rd International workshop on TIming Performance engineering for Safety critical systems CONFESTA/TIPS, Sep 2018, Beijing, China
typdoc
Conference papers
Accès au bibtex
BibTex
titre
Using SMT engine to generate Symbolic Automata
auteur
Xudong Qin, Simon Bliudze, Eric Madelaine, Min Zhang
article
18th International Workshop on Automated Verification of Critical Systems (AVOCS 2018), Jul 2018, Oxford, United Kingdom. Electronic Communications of the EASST Open Access Journal, Jul 2018, Oxford, United Kingdom
typdoc
Conference papers
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01962971/file/2018-Avocs-paper%2016.pdf BibTex
titre
Towards the Verification of Hybrid Co-simulation Algorithms
auteur
Casper Thule, Cláudio Gomes, Julien Deantoni, Peter Gorm Larsen, Jörg Brauer, Hans Vangheluwe
article
Workshop on Formal Co-Simulation of Cyber-Physical Systems (SEFM satellite), Jun 2018, Toulouse, France
typdoc
Conference papers
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01871531/file/CoSim-CPS-18_paper_3-FULL.pdf BibTex
titre
Beyond Time-Triggered Co-simulation of Cyber-Physical Systems for Performance and Accuracy Improvements
auteur
Giovanni Liboni, Julien Deantoni, Antonio Portaluri, Davide Quaglia, Robert de Simone
article
10th Workshop on Rapid Simulation and Performance Evaluation: Methods and Tools, Jan 2018, Manchester, United Kingdom
typdoc
Conference papers
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01675396/file/rapido2018_noACM.pdf BibTex
titre
Co-simulation: The Past, Future, and Open Challenges
auteur
Cláudio Gomes, Casper Thule, Julien Deantoni, Peter Gorm Larsen, Hans Vangheluwe
article
Leveraging Applications of Formal Methods, Verification and Validation. Distributed Systems, 2018, Limassol, Cyprus. pp.504--520
typdoc
Conference papers
Accès au bibtex
BibTex

Poster communications

titre
Smart IoT for Mobility: Automating of Mobility Value Chain through the Adoption of Smart Contracts within IoT Platforms
auteur
François Verdier, Primavera de Filippi, Frédéric Mallet, Philippe Collet, Lise Arena, Amel Attour, Marta Ballatore, Michela Chessa, Agnès Festré, Patricia Guitton-Ouhamou, Raphaël Bernhard, Benoit Miramond
article
17th Driving Simulation & Virtual Reality Conference (DSC 2018), Sep 2018, Antibes, France. , pp.2
typdoc
Poster communications
Accès au bibtex
BibTex

Reports

titre
Using SMT engine to generate Symbolic Automata -Extended version
auteur
Xudong Qin, Simon Bliudze, Eric Madelaine, Min Zhang
article
[Research Report] RR-9177, Inria & Université Cote d'Azur, CNRS, I3S, Sophia Antipolis, France; inria. 2018
typdoc
Reports
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01823507/file/RR-9177.pdf BibTex
titre
Infrastructure & Design of Embedded Connected-Object Services: Application to Activity Daily Live monitoring
auteur
M-A Peraldi-Frati, J-L Salvat, N Le Thanh, T-H Hoang, T.-H.-H Nguyen
article
[Research Report] Laboratoire I3S / UNS; Institut DNIIT. 2018
typdoc
Reports
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01878140/file/RapportInterneDNIIT_SLEGO.pdf BibTex

Preprints, Working Papers, ...

titre
The Delta-calculus: syntax and types
auteur
Luigi Liquori, Claude Stolze
article
2018
typdoc
Preprints, Working Papers, ...
Accès au texte intégral et bibtex
https://hal.science/hal-02190691/file/delta.pdf BibTex

2017

Journal articles

titre
Quantitative Performance Evaluation of Uncertainty-Aware Hybrid AADL Designs Using Statistical Model Checking
auteur
Yongxiang Bao, Mingsong Chen, Qi Zhu, Tongquan Wei, Tingliang Zhou, Frédéric Mallet
article
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2017, 36 (12), pp.1989 - 2002. ⟨10.1109/TCAD.2017.2681076⟩
typdoc
Journal articles
DOI
DOI : 10.1109/TCAD.2017.2681076
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01644285/file/AADL.pdf BibTex
titre
Modelling Program's Performance with Gaussian Mixtures for Parametric Statistics
auteur
Julien Worms, Sid Touati
article
IEEE Transactions on Multi-Scale Computing Systems, 2017, pp.16. ⟨10.1109/TMSCS.2017.2754251⟩
typdoc
Journal articles
DOI
DOI : 10.1109/TMSCS.2017.2754251
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01645009/file/TMSCS-2016-12-0059-main.pdf BibTex
titre
Scheduling Live Migration of Virtual Machines
auteur
Vincent Kherbache, Eric Madelaine, Fabien Hermenier
article
IEEE Transactions on Cloud Computing, 2017, pp.1-14. ⟨10.1109/TCC.2017.2754279⟩
typdoc
Journal articles
DOI
DOI : 10.1109/TCC.2017.2754279
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01644729/file/main.pdf BibTex
titre
Monitoring as-a-service to drive more efficient future system design
auteur
Frédéric Lemoine, Tatiana Aubonnet, Ludovic Henrio, Soumia Kessal, Eric Madelaine, Noëmie Simoni
article
EAI Endorsed Transactions on Cloud Systems, 2017, 3 (9), pp.1 - 15. ⟨10.4108/eai.28-6-2017.152754⟩
typdoc
Journal articles
DOI
DOI : 10.4108/eai.28-6-2017.152754
Accès au texte intégral et bibtex
https://hal.science/hal-01582593/file/eai.28-6-2017.152754.pdf BibTex
titre
LLFP : A Logical Framework for modeling External Evidence, Side Conditions, and Proof Irrelevance using Monads
auteur
Furio Honsell, Luigi Liquori, Petar Maksimovic, Ivan Scagnetto
article
Logical Methods in Computer Science, 2017, Special Issue in honor of Pierre Louis Curien
typdoc
Journal articles
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01146059/file/LLFP_LMCS.pdf BibTex
titre
IndexMEED cases studies using "Omics" data with graph theory
auteur
Romain David, Jean-Pierre Féral, Sophie Archambeau, Fanny Arnaud, David Auber, Nicolas Bailly, Loup Bernard, Laure Berti-Équille, Cyrille Blanpain, Vincent Breton, Anne Chenuil-Maurel, Anna Cohen Nabeiro, Alrick Dias, Aurélie Delavaud, Robin Goffaud, Sophie Gachet, Karina Gibert, Manuel Herrera Fernandez, Luc Hogie, Dino Ienco, Romain Julliard, Yvan Le Bras, Julien Lecubin, Yannick Legre, Michelle Leydet, Grégoire Lois, Bénédicte Madon, François Marchal, Víctor Méndez Muñoz, Jean-Charles Meunier, Jean-Baptiste Mihoub, Isabelle Mougenot, Sophie Pamerlon, Eric Peletier, Geneviève Romier, Dad Roux-Michollet, Alison Specht, Christian Surace, Jean-Claude Raynal, Thierry Tatoni
article
Biodiversity Information Science and Standards, 2017, TDWG 2017 - Proceedings, 1 (2), pp.340-361. ⟨10.3897/tdwgproceedings.1.20740⟩
typdoc
Journal articles
DOI
DOI : 10.3897/tdwgproceedings.1.20740
Accès au texte intégral et bibtex
https://hal.science/hal-01761535/file/BISS_article_20740.pdf BibTex
titre
Behavioural semantics for asynchronous components
auteur
Rabéa Ameur-Boulifa, Ludovic Henrio, Oleksandra Kulankhina, Eric Madelaine, Alexandra Savu
article
Journal of Logical and Algebraic Methods in Programming, 2017, 89, pp.1 - 40. ⟨10.1016/j.jlamp.2017.02.003⟩
typdoc
Journal articles
DOI
DOI : 10.1016/j.jlamp.2017.02.003
Accès au texte intégral et bibtex
https://hal.science/hal-01526055/file/GCMOperationalSemantics.pdf BibTex

Conference papers

titre
A Decidable Subtyping Logic for Intersection and Union Types
auteur
Luigi Liquori, Claude Stolze
article
TTCS 2017 - 2nd International Conference on Topics in Theoretical Computer Science, Sep 2017, Tehran, Iran. pp.74-90, ⟨10.1007/978-3-319-68953-1_7⟩
typdoc
Conference papers
DOI
DOI : 10.1007/978-3-319-68953-1_7
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01760641/file/440117_1_En_7_Chapter.pdf BibTex
titre
Towards a Logical Framework with Intersection and Union Types
auteur
Claude Stolze, Luigi Liquori, Furio Honsell, Ivan Scagnetto
article
11th International Workshop on Logical Frameworks and Meta-languages, LFMTP, Sep 2017, Oxford, United Kingdom. pp.1 - 9
typdoc
Conference papers
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01534035/file/paper-sigconf.pdf BibTex
titre
Multi-View Design for Cyber-Physical Systems
auteur
Hui Zhao, Ludovic Apvrille, Frédéric Mallet
article
PhD Symposium at 13th International Conference on ICT in Education, Research, and Industrial Applications, May 2017, Kiev, Ukraine. pp.22-28
typdoc
Conference papers
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01669918/file/MultiviewDesignForCPS.pdf BibTex
titre
Explicit Control of Dataflow Graphs with MARTE/CCSL
auteur
Jean-Vivien Millo, Emilien Kofman, Julien Deantoni, Frédéric Mallet, Amine Oueslati, Robert de Simone
article
MODELSWARD 2017 - 5th International Conference on Model-Driven Engineering and Software Development, MODELSWARD 2017, Feb 2017, Porto, Portugal. pp.542-549, ⟨10.5220/0006269505420549⟩
typdoc
Conference papers
DOI
DOI : 10.5220/0006269505420549
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01644294/file/main.pdf BibTex

Book sections

titre
MARTE for CPS and CPSoS: Present and Future, Methodology and Tools
auteur
Frédéric Mallet, Eugenio Villar, Fernando Herrera
article
Jean-Pierre Talpin. Cyber-Physical System Design from an Architecture Analysis Viewpoint, Springer, pp.81-108, 2017, 978-981-10-4435-9. ⟨10.1007/978-981-10-4436-6_4⟩
typdoc
Book sections
DOI
DOI : 10.1007/978-981-10-4436-6_4
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01671190/file/main_public.pdf BibTex

Books

titre
Eleventh International Symposium on Theoretical Aspects of Software Engineering
auteur
Frédéric Mallet, Min Zhang, Eric Madelaine
article
IEEE, 2017, 978-1-5386-1924-7
typdoc
Books
Accès au bibtex
BibTex
titre
PhD Symposium at 13th International Conference on ICT in Education, Research, and Industrial Applications
auteur
Frédéric Mallet, Zholtkevych Grygoriy
article
, 2017
typdoc
Books
Accès au bibtex
BibTex

Reports

titre
A Decidable Subtyping Logic for Intersection and Union Types (full version)
auteur
Luigi Liquori, Claude Stolze
article
[Research Report] Inria. 2017
typdoc
Reports
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01488428/file/paper.pdf BibTex
titre
State-of-the-art on Current Formalisms used in Cyber-Physical Systems Development
auteur
Rima Al Ali, Moussa Amrani, Ankica Barisic, Fernando Barros, Dominique Blouin, Holger Giese, Miguel Goulao, Mauro Iacono, Navarro Eva, Hans Vangheluwe, Manuel Wimmer
article
[Technical Report] COST European Cooperation in Science and Technology. 2017
typdoc
Reports
Accès au texte intégral et bibtex
https://hal.science/hal-03168840/file/Al-Ali%20et%20al.%20-%202017%20-%20State-of-the-art%20on%20Current%20Formalisms%20used%20in%20Cyber-Physical%20Systems%20Development%201201234567891%C2%B40%201-annotated.pdf BibTex

Theses

titre
Low power application architecture adaptation using SMT solvers
auteur
Émilien Kofman
article
Other [cs.OH]. COMUE Université Côte d'Azur (2015 - 2019), 2017. English. ⟨NNT : 2017AZUR4009⟩
typdoc
Theses
Accès au texte intégral et bibtex
https://theses.hal.science/tel-01534440/file/2017AZUR4009.pdf BibTex

Preprints, Working Papers, ...

titre
LogNet: Extending Internet with a Network Aware Discovery Service
auteur
Luigi Liquori, Matteo Sereno
article
2017
typdoc
Preprints, Working Papers, ...
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01323974/file/links.pdf BibTex

2016

Conference papers

titre
A Theory for the Composition of Concurrent Processes
auteur
Ludovic Henrio, Eric Madelaine, Min Zhang
article
36th International Conference on Formal Techniques for Distributed Objects, Components, and Systems (FORTE), Jun 2016, Heraklion, Greece. pp.175-194, ⟨10.1007/978-3-319-39570-8_12⟩
typdoc
Conference papers
DOI
DOI : 10.1007/978-3-319-39570-8_12
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01432917/file/426757_1_En_12_Chapter.pdf BibTex

2012

Conference papers

titre
Usability Evaluation of Domain-Specific Languages
auteur
Ankica Barisic, Vasco Amaral, Miguel Goulao
article
2012 Eighth International Conference on the Quality of Information and Communications Technology (QUATIC), Sep 2012, Lisbon, Portugal. pp.342-347, ⟨10.1109/QUATIC.2012.63⟩
typdoc
Conference papers
DOI
DOI : 10.1109/QUATIC.2012.63
Accès au texte intégral et bibtex
https://hal.science/hal-03168615/file/Bari%C5%A1i%C4%87%2C%20Amaral%2C%20Goul%C3%A3o%20-%202012%20-%20Usability%20evaluation%20of%20domain-specific%20languages-annotated.pdf BibTex

2009

Journal articles

titre
Behavioural models for distributed Fractal components
auteur
Tomás Barros, Rabéa Ameur-Boulifa, Antonio Cansado, Ludovic Henrio, Eric Madelaine
article
Annals of Telecommunications - annales des télécommunications, 2009, 64 (1-2), pp.25-43. ⟨10.1007/s12243-008-0069-7⟩
typdoc
Journal articles
DOI
DOI : 10.1007/s12243-008-0069-7
Accès au bibtex
BibTex

2004

Preprints, Working Papers, ...

titre
Inferring types for functional methods (where method calls come for free)
auteur
Luigi Liquori, Arnaud Spiwack
article
2004
typdoc
Preprints, Working Papers, ...
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01149745/file/2004-types-04.pdf BibTex