Publications HAL du labo/EPI IRISA-D3

2020

Journal articles

titre
Binary Tree Classification of Rigid Error Detection and Correction Techniques
auteur
Angeliki Kritikakou, Rafail Psiakis, Francky Catthoor, Olivier Sentieys
article
ACM Computing Surveys, 2020, 53 (4), pp.1-38. ⟨10.1145/3397268⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02927439/file/Binder.pdf BibTex
titre
IR-Level Dynamic Data Dependence Using Abstract Interpretation Towards Speculative Parallelization
auteur
Rasha Omar, Ahmed El-Mahdy, Erven Rohou
article
IEEE Access, 2020, 8, pp.99910-99921. ⟨10.1109/ACCESS.2020.2997715⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02913838/file/Omar-2020-IEEEACCESS.pdf BibTex
titre
SIMT-X: Extending Single-Instruction Multi-Threading to Out-of-Order Cores
auteur
Anita Tino, Caroline Collange, André Seznec
article
ACM Transactions on Architecture and Code Optimization, 2020, 17 (2), pp.15. ⟨10.1145/3392032⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02542333/file/Tino_SIMT-X_TACO20.pdf BibTex
titre
Binary-level data dependence analysis of hot execution regions using abstract interpretation at runtime
auteur
Rasha Omar, Mostafa Abbas, Ahmed El-Mahdy, Erven Rohou
article
PLoS ONE, 2020, 15 (4), pp.1-20. ⟨10.1371/journal.pone.0230904⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02913722/file/Omar-2020-PLOS-ONE.pdf BibTex
titre
Exploiting Thermal Transients With Deterministic Turbo Clock Frequency
auteur
Pierre Michaud
article
IEEE Computer Architecture Letters, 2020, 19 (1), pp.43-46. ⟨10.1109/LCA.2020.2983920⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02562105/file/turbo_hal.pdf BibTex
titre
Application-specific arithmetic in high-level synthesis tools
auteur
Yohann Uguen, Florent de Dinechin, Victor Lezaud, Steven Derrien
article
ACM Transactions on Architecture and Code Optimization, 2020, ⟨10.1145/3377403⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02423363/file/hal-hls-arith-v2.pdf BibTex
titre
Safe Overclocking for CNN Accelerators through Algorithm-Level Error Detection
auteur
Thibaut Marty, Tomofumi Yuki, Steven Derrien
article
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2020, 39 (12), pp.4777 - 4790. ⟨10.1109/TCAD.2020.2981056⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-03094811/file/FINAL%20VERSION.pdf BibTex
titre
Blind Joint Polarization Demultiplexing and IQ Imbalance Compensation for M -QAM Coherent Optical Communications
auteur
Marwa Kazdoghli Lagha, Robin Gerzaguet, Laurent Bramerie, Mathilde Gay, Marie-Laure Chares, Christophe Peucheret, Pascal Scalart
article
Journal of Lightwave Technology, 2020, 38 (16), pp.4213-4220. ⟨10.1109/JLT.2020.2986601⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02916203/file/Lagha_jlt_20_v38_p4213.pdf BibTex
titre
Toward Speculative Loop Pipelining for High-Level Synthesis
auteur
Steven Derrien, Thibaut Marty, Simon Rokicki, Tomofumi Yuki
article
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2020, 39 (11), pp.4229 - 4239. ⟨10.1109/TCAD.2020.3012866⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02949516/file/Cases2020_R2.pdf BibTex
titre
Energy-driven design space exploration of tiling-based accelerators for heterogeneous multiprocessor architectures
auteur
Baptiste Roux, Matthieu Gautier, Olivier Sentieys, Jean-Philippe Delahaye
article
Microprocessors and Microsystems: Embedded Hardware Design , 2020, 77, pp.1-12. ⟨10.1016/j.micpro.2020.103138⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02747622/file/edDES_jan20.pdf BibTex

Conference papers

titre
Interception of Frequency-Hopping Signals for TEMPEST Attacks
auteur
Corentin Lavaud, Robin Gerzaguet, Matthieu Gautier, Olivier Berder, Erwan Nogues, Stephane Molton
article
Rendez-vous de la Recherche et de l'Enseignement de la Sécurité des Systèmes d'Information, Dec 2020, Virtuelle, France
Accès au texte intégral et bibtex
https://inria.hal.science/hal-03027537/file/lavaud20ressi.pdf BibTex
titre
Towards real time interception of Frequency Hopping signals
auteur
Corentin Lavaud, Robin Gerzaguet, Matthieu Gautier, Olivier Berder, Erwan Nogues, Stephane Molton
article
IEEE International Workshop on Signal Processing Systems, Oct 2020, Virtual, France
Accès au texte intégral et bibtex
https://inria.hal.science/hal-03027501/file/lavaud20sips.pdf BibTex
titre
Min/max time limits and energy penalty of communication scheduling in ring-based ONoC
auteur
Joel Ortiz Sosa, Cedric Killian, Hamza Ben Ammar, Daniel Chillet
article
NoCArc 2020 - 13th IEEE/ACM International Workshop on Network on Chip Architectures, Oct 2020, On-line, France
Accès au texte intégral et bibtex
https://inria.hal.science/hal-03032687/file/mainV4%20V%20HAL.pdf BibTex
titre
Multiple Permanent Faults Mitigation Through Bit-Shuffling for Network-on-Chip Architecture
auteur
Romain Mercier, Cédric Killian, Angeliki Kritikakou, Youri Helen, Daniel Chillet
article
ICCD 2020 - IEEE International Conference on Computer Design, Oct 2020, Hartford / Virtual, United States. pp.1-8
Accès au texte intégral et bibtex
https://inria.hal.science/hal-03039545/file/Mercier_BitShuffling_ShortArticle.pdf BibTex
titre
Sélection opportuniste des cluster heads dans des réseaux hétérogènes basse consommation
auteur
Nour El Hoda Djidi, Matthieu Gautier, Antoine Courtay, Olivier Berder
article
Rencontres Francophones sur la Conception de Protocoles, l’Évaluation de Performance et l’Expérimentation des Réseaux de Communication, Sep 2020, Lyon, France
Accès au texte intégral et bibtex
https://hal.science/hal-02874969/file/Cores_Lora_Wur_djidi.pdf BibTex
titre
Progress-aware Dynamic Slack Exploitation in Mixed-critical Systems: Work-in-Progress
auteur
Angeliki Kritikakou, Stefanos Skalistis
article
EMSOFT 2020 - International Conference on Embedded Software, Sep 2020, Hamburg / Virtual, Germany. pp.1-3
Accès au texte intégral et bibtex
https://hal.science/hal-03125812/file/WiP_EMSOFT.pdf BibTex
titre
NOP-Oriented Programming: Should we Care?
auteur
Pierre-Yves Péneau, Ludovic Claudepierre, Damien Hardy, Erven Rohou
article
Sécurité des Interfaces Logiciel/Matériel, Sep 2020, Genoa (virtual), Italy. ⟨10.1109/EuroSPW51379.2020.00100⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02912301/file/silm_workshop_2020.pdf BibTex
titre
MASCARA (ModulAr Semantic CAching fRAmework) towards FPGA acceleration for IoT Security monitoring
auteur
van Long Nguyen Huu, Julien Lallet, Emmanuel Casseau, Laurent d'Orazio
article
VLIoT 2020 - International Workshop on Very Large Internet of Things, Sep 2020, Tokyo, Japan. pp.14-23
Accès au texte intégral et bibtex
https://inria.hal.science/hal-03017402/file/paper_VLIoT_HAL.pdf BibTex
titre
How robust is a LoRa communication against impulsive noise?
auteur
Jules Courjault, Baptiste Vrigneau, Olivier Berder, Manav R Bhatnagar
article
PIMRC 2020, Aug 2020, Londres, United Kingdom
Accès au texte intégral et bibtex
https://hal.science/hal-03027517/file/LoRa_Courjault_PIMRC20%20%282%29.pdf BibTex
titre
Evaluation of the Fault-Tolerant Online Scheduling Algorithms for CubeSats
auteur
Petr Dobiáš, Emmanuel Casseau, Oliver Sinnen
article
DSD 2020 - 23rd EUROMICRO Conference on Digital System Design, Aug 2020, Portoroz, Slovenia. pp.1-11
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02927553/file/2020_DSD_v_HAL.pdf BibTex
titre
Dynamic Interference-Sensitive Run-time Adaptation of Time-Triggered Schedules
auteur
Stefanos Skalistis, Angeliki Kritikakou
article
ECRTS 2020 - 32nd Euromicro Conference on Real-Time Systems, Jul 2020, Virtual, France. pp.1-22, ⟨10.4230/LIPIcs.ECRTS.2020.4⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02927451/file/LIPIcs-ECRTS-2020-4.pdf BibTex
titre
Attack detection through monitoring of timing deviations in embedded real-time systems
auteur
Nicolas Bellec, Simon Rokicki, Isabelle Puaut
article
ECRTS 2020 - 32nd Euromicro Conference on Real-Time Systems, Jul 2020, Modena, Italy. pp.1-22, ⟨10.4230/LIPIcs.ECRTS.2020.8⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02559549/file/ECRTS_2020_paper.pdf BibTex
titre
Fast Cross-Layer Vulnerability Analysis of Complex Hardware Designs
auteur
Joseph Paturel, Angeliki Kritikakou, Olivier Sentieys
article
ISVLSI 2020 - IEEE Computer Society Annual Symposium on VLSI, Jul 2020, Limassol, Cyprus. pp.328-333, ⟨10.1109/ISVLSI49217.2020.00067⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02927455/file/ISVLSI_2020_Fast_cross_layer_vulnerability_analysis%281%29.pdf BibTex
titre
Energy-aware Partial-Duplication Task Mapping under Real-Time and Reliability Constraints
auteur
Minyu Cui, Lei Mo, Angeliki Kritikakou, Emmanuel Casseau
article
SAMOS 2020 - International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation, Jul 2020, Samos / Virtual, Greece
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02927474/file/Energy_aware_Duplication_Based_Task_Mapping___SAMOS.pdf BibTex
titre
Compiler Optimizations for Safe Insertion of Checkpoints in Intermittently Powered Systems
auteur
Bahram Yarahmadi, Erven Rohou
article
SAMOS 2020 - International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation, Jul 2020, Virtual, Greece. pp.1-16, ⟨10.1007/978-3-030-60939-9_12⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02914953/file/Yarahmadi2020-SAMOS.pdf BibTex
titre
PIPS: Prefetching Instructions with Probabilistic Scouts
auteur
Pierre Michaud
article
IPC-1 - First Instruction Prefetching Championship, May 2020, Valencia, Spain. pp.1-4
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02861614/file/pips_final.pdf BibTex
titre
The FNL+MMA Instruction Cache Prefetcher
auteur
André Seznec
article
IPC-1 - First Instruction Prefetching Championship, May 2020, Valence, Spain. pp.1-5
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02884880/file/FNL%2BMMA-final.pdf BibTex
titre
Approximate Data Dependence Profiling based on Abstract Interval and Congruent Domains
auteur
Mostafa Abbas, Rasha Omar, Ahmed El-Mahdy, Erven Rohou
article
ARCS 2020 - 33rd International Conference on Architecture of Computing Systems, May 2020, Aachen (virtual), Germany. pp.3-16, ⟨10.1007/978-3-030-52794-5_1⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02914569/file/ARCS2020_Abbas.pdf BibTex
titre
GhostBusters: Mitigating Spectre Attacks on a DBT-Based Processor
auteur
Simon Rokicki
article
DATE 2020 - 23rd IEEE/ACM Design, Automation and Test in Europe, Mar 2020, Grenoble, France. pp.1-6
Accès au texte intégral et bibtex
https://hal.science/hal-02396631/file/ghostbusters_date20.pdf BibTex
titre
Towards Generic and Scalable Word-Length Optimization
auteur
Van-Phu Ha, Tomofumi Yuki, Olivier Sentieys
article
DATE 2020 - 23rd IEEE/ACM Design, Automation and Test in Europe, Mar 2020, Grenoble, France. pp.1-6
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02387232/file/date2020.pdf BibTex
titre
Fast Kriging-based Error Evaluation for Approximate Computing Systems
auteur
Justine Bonnot, Daniel Menard, Karol Desnos
article
Design, Automation & Test in Europe Conference & Exhibition (DATE), Mar 2020, Grenoble, France
Accès au texte intégral et bibtex
https://hal.science/hal-02468086/file/datePlan.pdf BibTex
titre
Adaptive Near Sensor Compressing for Energy Savings in Wireless Body Area Sensor Networks
auteur
Corentin Lavaud, Antoine Courtay, Matthieu Gautier, Olivier Berder
article
Workshop OBSN, ACM International Conference on Embedded Wireless Systems and Networks (EWSN), Feb 2020, Lyon, France
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02536433/file/lavaud20obsn.pdf BibTex
titre
Opportunistic cluster heads for heterogeneous networks combining LoRa and wake-up radio
auteur
Nour El Hoda Djidi, Antoine Courtay, Matthieu Gautier, Olivier Berder, Michele Magno
article
ACM International Conference on Embedded Wireless Systems and Networks (EWSN), Workshop AWAKE, Feb 2020, Lyon, France
Accès au bibtex
BibTex
titre
Exploring value prediction limits
auteur
André Seznec, Kleovoulos Kalaitzidis
article
CVP 2020 - Championship Value Prediction, Feb 2020, Los-Angeles, United States. pp.1-5
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02884853/file/CVP2020.pdf BibTex
titre
Fault-Tolerant Online Scheduling Algorithms for CubeSats
auteur
Petr Dobiáš, Emmanuel Casseau, Oliver Sinnen
article
PARMA-DITAM’20 - 11th Workshop on Parallel Programming and Run-Time Management Techniques for Many-core Architecture, 9th Workshop on Design Tools and Architectures for Multicore Embedded Computing Platforms, Jan 2020, Bologna, Italy
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02461164/file/2020_PARMA_DITAM.pdf BibTex

Reports

titre
Ofast3D - Étude de faisabilité
auteur
Damien Hardy
article
[Rapport Technique] RT-0511, Inria Rennes - Bretagne Atlantique; IRISA. 2020, pp.18
Accès au texte intégral et bibtex
https://inria.hal.science/hal-03093905/file/RT-0511.pdf BibTex

Theses

titre
Conception d'un émetteur-récepteur numérique pour les réseaux sur puce sans fil
auteur
Joel Ortiz Sosa
article
Systèmes embarqués. Université de Rennes 1 (UR1), 2020. Français. ⟨NNT : ⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-03120129/file/Thesis_Joel_z.pdf BibTex
titre
Frequent itemset sampling of high throughput streams on FPGA accelerators
auteur
Maël Gueguen
article
Embedded Systems. Université Rennes 1, 2020. English. ⟨NNT : 2020REN1S053⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-03120148/file/GUEGUEN_Mael.pdf BibTex
titre
Online fault tolerant task scheduling for real-time multiprocessor embedded systems
auteur
Petr Dobiáš
article
Embedded Systems. Université Rennes 1, 2020. English. ⟨NNT : 2020REN1S024⟩
Accès au texte intégral et bibtex
https://hal.science/tel-03016351/file/DOBIAS_Petr.pdf BibTex
titre
Compressed cache layout aware prefetching
auteur
Niloofar Charmchi
article
Performance [cs.PF]. Université Rennes 1, 2020. English. ⟨NNT : 2020REN1S017⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-03099313/file/CHARMCHI_Niloofar.pdf BibTex
titre
Advanced speculation to increase the performance of superscalar processors
auteur
Kleovoulos Kalaitzidis
article
Performance [cs.PF]. Université Rennes 1, 2020. English. ⟨NNT : 2020REN1S007⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-03033709/file/KALAITZIDIS_Kleovoulos.pdf BibTex

2019

Journal articles

titre
Guided just-in-time specialization
auteur
Caio Lima, Junio Cezar, Guilherme Vieira Leobas, Erven Rohou, Fernando Magno Quintão Pereira
article
Science of Computer Programming, 2019, 185, pp.41. ⟨10.1016/j.scico.2019.102318⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02314442/file/SCICO.pdf BibTex
titre
Generation of Finely-Pipelined GF(P ) Multipliers for Flexible Curve based Cryptography on FPGAs
auteur
Gabriel Gallin, Arnaud Tisserand
article
IEEE Transactions on Computers, 2019, 68 (11), pp.1612-1622. ⟨10.1109/TC.2019.2920352⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02141260/file/tc-htmm.pdf BibTex
titre
Worst-Case Execution-Time-Aware Parallelization of Model-Based Avionics Applications
auteur
Simon Reder, Fabian Kempf, Harald Bucher, Jurgen Becker, Panayiotis Alefragis, Nikolaos S. Voros, Stefanos Skalistis, Steven Derrien, Isabelle Puaut, Oliver Oey, Timo Stripf, Christian Ferdinand, Clément David, Peer Ulbig, David Mueller, Umut Durak
article
Journal of Aerospace Information Systems, 2019, 16 (11), pp.521-533. ⟨10.2514/1.I010749⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02383381/file/ARGO_JAIS_preprint.pdf BibTex
titre
Experimental Demonstration of the Trade-off between Chromatic Dispersion and Phase Noise Compensation in Optical FBMC/OQAM Communication Systems
auteur
Trung-Hien Nguyen, Laurent Bramerie, Mathilde Gay, Marwa Kazdoghli-Lagha, Christophe Peucheret, Robin Gerzaguet, Simon-Pierre Gorza, Jérome Louveaux, François Horlin
article
Journal of Lightwave Technology, 2019, 37 (17), pp.4340-4348. ⟨10.1109/JLT.2019.2923763⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02268492/file/Nguyen_jlt_19_v37_p4340_preprint.pdf BibTex
titre
The Smaller the Better: Designing Solar Energy Harvesting Sensor Nodes for Long-Range Monitoring
auteur
Malo Mabon, Matthieu Gautier, Baptiste Vrigneau, Mickaël Le Gentil, Olivier Berder
article
Wireless Communications and Mobile Computing, 2019, 2019, pp.1-11. ⟨10.1155/2019/2878545⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02184445/file/mabon19wcmc.pdf BibTex
titre
A framework for automatic and parameterizable memoization
auteur
Loïc Besnard, Pedro Pinto, Imane Lasri, João Bispo, Erven Rohou, João Manuel Paiva Cardoso
article
SoftwareX, 2019, 10, pp.100322. ⟨10.1016/j.softx.2019.100322⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02305415/file/memoization_softwarex.pdf BibTex
titre
The ANTAREX domain specific language for high performance computing
auteur
Cristina Silvano, Giovanni Agosta, Andrea Bartolini, Andrea R. Beccari, Luca Benini, Loïc Besnard, João Bispo, Radim Cmar, João M. P. Cardoso, Carlo Cavazzoni, Daniele Cesarini, Stefano Cherubin, Federico Ficarelli, Davide Gadioli, Martin Golasowski, Antonio Libri, Jan Martinovič, Gianluca Palermo, Pedro Pinto, Erven Rohou, Kateřina Slaninová, Emanuele Vitali
article
Microprocessors and Microsystems: Embedded Hardware Design , 2019, 68, pp.58-73. ⟨10.1016/j.micpro.2019.05.005⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02189586/file/MICPRO-ANTAREX-2019.pdf BibTex
titre
Energy-Aware Multiple Mobile Chargers Coordination for Wireless Rechargeable Sensor Networks
auteur
Lei Mo, Angeliki Kritikakou, Shibo He
article
IEEE Internet of Things Journal, 2019, pp.1-13. ⟨10.1109/JIOT.2019.2918837⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02140283/file/bare_jrnl.pdf BibTex
titre
Towards Automatic Binary Runtime Loop De-Parallelization using On-Stack Replacement
auteur
Marwa Yusuf, Ahmed El-Mahdy, Erven Rohou
article
Information Processing Letters, 2019, 145, pp.53-57. ⟨10.1016/j.ipl.2019.01.009⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02002812/file/IPL-Yusuf.pdf BibTex
titre
Performance Analysis of Erroneous Feedback Based MIMO System over Nakagami-m Fading Channels
auteur
Ankit Garg, Manav Bhatnagar, Olivier Berder, Baptiste Vrigneau
article
IEEE Transactions on Communications, 2019, pp.1-1. ⟨10.1109/TCOMM.2019.2912884⟩
Accès au bibtex
BibTex
titre
Event-Driven Joint Mobile Actuators Scheduling and Control in Cyber-Physical Systems
auteur
Lei Mo, Pengcheng You, Xianghui Cao, Ye-Qiong Song, Angeliki Kritikakou
article
IEEE Transactions on Industrial Informatics, 2019, pp.1-13. ⟨10.1109/TII.2019.2906061⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02080647/file/08673870.pdf BibTex
titre
Runtime On-Stack Parallelization of Dependence-Free For-Loops in Binary Programs
auteur
Marwa Yusuf, Ahmed El-Mahdy, Erven Rohou
article
IEEE Letters of the Computer Society, 2019, 2 (1), pp.1-4. ⟨10.1109/LOCS.2019.2896559⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02061340/file/LOCS_binary_parallelization.pdf BibTex
titre
Smooth random functions, random ODEs, and Gaussian processes
auteur
Silviu-Ioan Filip, Aurya Javeed, Lloyd Nicholas Trefethen
article
SIAM Review, 2019, 61 (1), pp.185-205. ⟨10.1137/17M1161853⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01944992/file/random_revision2.pdf BibTex
titre
Cache-conscious Off-Line Real-Time Scheduling for Multi-Core Platforms: Algorithms and Implementation
auteur
Viet Anh Nguyen, Damien Hardy, Isabelle Puaut
article
Real-Time Systems, In press, pp.1-37. ⟨10.4230/LIPIcs.ECRTS.2017.14⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02044110/file/paper.pdf BibTex
titre
Mapping Imprecise Computation Tasks on Cyber-Physical Systems
auteur
Lei Mo, Angeliki Kritikakou
article
Peer-to-Peer Networking and Applications, 2019, pp.1726-1740. ⟨10.1007/s12083-019-00749-9⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02397099/file/P2P_Networking_and_Applications.pdf BibTex

Conference papers

titre
Accurate LoRa Performance evaluation using Marcum function
auteur
Jules Courjault, Baptiste Vrigneau, Matthieu Gautier, Olivier Berder
article
IEEE International conference on Global Communications (Globecom), Dec 2019, Hawaï, United States
Accès au texte intégral et bibtex
https://hal.science/hal-02418665/file/LoRa_Courjault_Globecom19%20%282%29.pdf BibTex
titre
Timely Fine-grained Interference-sensitive Run-time Adaptation of Time-triggered Schedules
auteur
Stefanos Skalistis, Angeliki Kritikakou
article
RTSS 2019 - 40th IEEE Real-Time Systems Symposium, Dec 2019, Hong Kong, China. pp.1-13
Accès au texte intégral et bibtex
https://hal.science/hal-02316392/file/Timely_Fine_grained_Interference_sensitive_Run_time_Adaptation_of_Time_triggered_Schedules.pdf BibTex
titre
Reconciling Compiler Optimizations and WCET Estimation Using Iterative Compilation
auteur
Mickaël Dardaillon, Stefanos Skalistis, Isabelle Puaut, Steven Derrien
article
RTSS 2019 - 40th IEEE Real-Time Systems Symposium, Dec 2019, Hong Kong, China. pp.1-13
Accès au texte intégral et bibtex
https://hal.science/hal-02286164/file/RTSS_2019.pdf BibTex
titre
Value Speculation through Equality Prediction
auteur
Kleovoulos Kalaitzidis, André Seznec
article
ICCD 2019 - 37th IEEE International Conference on Computer Design, Nov 2019, Abu Dhabi, United Arab Emirates. pp.1-4
Accès au texte intégral et bibtex
https://hal.science/hal-02383480/file/iccd_IEEE.pdf BibTex
titre
What You Simulate Is What You Synthesize: Designing a Processor Core from C++ Specifications
auteur
Simon Rokicki, Davide Pala, Joseph Paturel, Olivier Sentieys
article
ICCAD 2019 - 38th IEEE/ACM International Conference on Computer-Aided Design, Nov 2019, Westminster, CO, United States. pp.1-8
Accès au texte intégral et bibtex
https://hal.science/hal-02303453/file/comet_hal.pdf BibTex
titre
Qubit allocation as a combination of subgraph isomorphism and token swapping
auteur
Marcos Yukio Siraichi, Vinicius Fernandes Dos Santos, Caroline Collange, Fernando Magno Quintão Pereira
article
OOPSLA, Oct 2019, Athens, Greece. pp.1-29, ⟨10.1145/3360546⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02316820/file/Siraichi_QubitAlloc_OOPSLA19.pdf BibTex
titre
Multi-Carrier Spread-Spectrum Transceiver for WiNoC
auteur
Joel Ortiz Sosa, Olivier Sentieys, Christian Roland, Cédric Killian
article
NOCS 2019 - 13th IEEE/ACM International Symposium on Networks-on-Chip, Oct 2019, New York, United States. pp.1-2, ⟨10.1145/3313231.3352373⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02394890/file/PosterPaper_NoCs2019.pdf BibTex
titre
Approximate nanophotonic interconnects
auteur
Jaechul Lee, Cédric Killian, Sébastien Le Beux, Daniel Chillet
article
NOCS 2019 - 13th IEEE/ACM International Symposium on Networks-on-Chip, Oct 2019, New York, United States. pp.1-7, ⟨10.1145/3313231.3352365⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02341667/file/Approx_ONoC_for_HAL.pdf BibTex
titre
Run-time Coarse-Grained Hardware Mitigation for Multiple Faults on VLIW Processors
auteur
Rafail Psiakis, Angeliki Kritikakou, Olivier Sentieys, Emmanuel Casseau
article
DASIP 2019 - Conference on Design and Architectures for Signal and Image Processing, Oct 2019, Montréal, Canada. pp.1-6
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02344282/file/DASIP.pdf BibTex
titre
Compressed cache layout aware prefetching
auteur
Niloofar Charmchi, Caroline Collange, André Seznec
article
SBAC-PAD 2019 - International Symposium on Computer Architecture and High Performance Computing, Oct 2019, Campo Grande, MS, Brazil. pp.1-4
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02316773/file/Charmchi_CLAP_SBAC19.pdf BibTex
titre
Designing Application-Specific Heterogeneous Architectures from Performance Models
auteur
Thanh Cong, François Charot
article
MCSoC 2019 - IEEE 13th International Symposium on Embedded Multicore/Many-core Systems-on-Chip, Oct 2019, Singapore, Singapore. pp.1-8
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02289868/file/Cong_MCSoC2019.pdf BibTex
titre
Direction-Aided Indoor Positioning Leveraging Ultra-Wideband Radio
auteur
Mamadou Lamarana Diallo, Antoine Courtay, Mickael Le Gentil, Olivier Berder
article
2019 IEEE 90th Vehicular Technology Conference (VTC2019-Fall), Sep 2019, Honolulu, United States. pp.1-6, ⟨10.1109/VTCFall.2019.8891116⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02367861/file/VTC_Fall_2019_Final.pdf BibTex
titre
Exploration architecturale d'accélérateur pour des architectures multi-coeurs hétérogènes
auteur
Baptiste Roux, Matthieu Gautier, Olivier Sentieys
article
27ème colloque du Groupement de Recherche en Traitement du Signal et des Images, Aug 2019, Lille, France
Accès au texte intégral et bibtex
https://hal.science/hal-02406976/file/roux19gretsi.pdf BibTex
titre
Compression adaptative pour les réseaux de capteurs sans fil
auteur
Corentin Lavaud, Antoine Courtay, Matthieu Gautier, Olivier Berder
article
27ème colloque du Groupement de Recherche en Traitement du Signal et des Images, Aug 2019, Lille, France
Accès au texte intégral et bibtex
https://hal.science/hal-02406966/file/lavaud19gretsi.pdf BibTex
titre
Evaluation rapide et précise des performances d'une communication LoRa basée sur la fonction de Marcum
auteur
Jules Courjault, Baptiste Vrigneau, Matthieu Gautier, Olivier Berder
article
Colloque du GRETSI, Aug 2019, Lille, France
Accès au texte intégral et bibtex
https://hal.science/hal-02418669/file/GRETSI19_Jules%20%282%29.pdf BibTex
titre
Modulation format independent joint polarization demultiplexing and IQ imbalance compensation
auteur
Marwa Kazdoghli Lagha, Pascal Scalart, Christophe Peucheret, Robin Gerzaguet, Laurent Bramerie
article
OSA Advanced Photonics Congress 2019 (APC 2019), Jul 2019, Burlingame, California, United States. SpT3E.4
Accès au bibtex
BibTex
titre
Error Analysis of the Square Root Operation for the Purpose of Precision Tuning: a Case Study on K-means
auteur
Oumaima Matoussi, Yves Durand, Olivier Sentieys, Anca Molnos
article
ASAP 2019 - 30th IEEE International Conference on Application-specific Systems, Architectures and Processors, Jul 2019, New York, United States. pp.1-8
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02183945/file/ASAP_kmeans_final.pdf BibTex
titre
Adaptive Transceiver for Wireless NoC to Enhance Multicast/Unicast Communication Scenarios
auteur
Joel Ortiz Sosa, Olivier Sentieys, Christian Roland
article
ISVLSI 2019 - IEEE Computer Society Annual Symposium on VLSI, Jul 2019, Miami, United States. pp.1-6, ⟨10.1109/ISVLSI.2019.00111⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02394902/file/ISVLSI19Joel.pdf BibTex
titre
Hiding Communication Delays in Contention-Free Execution for SPM-Based Multi-Core Architectures
auteur
Benjamin Rouxel, Stefanos Skalistis, Steven Derrien, Isabelle Puaut
article
ECRTS 2019 - 31st Euromicro Conference on Real-Time Systems, Jul 2019, Stuttgart, Germany. pp.1-24, ⟨10.4230/LIPIcs.ECRTS.2019.25⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02190271/file/LIPIcs-ECRTS-2019-25.pdf BibTex
titre
Impact of DM-LRU on WCET: A Static Analysis Approach
auteur
Renato Mancuso, Heechul Yun, Isabelle Puaut
article
ECRTS 2019 - 31st Euromicro Conference on Real-Time Systems, Jul 2019, Stuttgart, Germany. pp.1-25, ⟨10.4230/LIPIcs.ECRTS.2019.17⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02190255/file/LIPIcs-ECRTS-2019-17.pdf BibTex
titre
Vers la reconfiguration adaptative de GPU pour chaque application
auteur
Alexandre Kouyoumdjian, Caroline Collange, Erven Rohou
article
COMPAS 2019 - Conférence d'informatique en Parallélisme, Architecture et Système, Jun 2019, Anglet, France. pp.1-6
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02390821/file/Kouyoumdjian_ReconfigurationAdaptativeGPU_Compas19.pdf BibTex
titre
Toward compression-aware prefetching
auteur
Niloofar Charmchi, Caroline Collange
article
COMPAS 2019 - Conférence d'informatique en Parallélisme, Architecture et Système, Jun 2019, Anglet, France. pp.1-9
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02351461/file/charmchi_cpxawareprefetch_compas19.pdf BibTex
titre
Worst-Case Energy Consumption Aware Compile-Time Checkpoint Placement for Energy Harvesting Systems
auteur
Bahram Yarahmadi, Erven Rohou
article
COMPAS19 - Conférence d'informatique en Parallélisme, Architecture et Système, Jun 2019, Anglet, France. pp.11
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02913849/file/COMPAS.pdf BibTex
titre
Ordinateurs quantiques : ouvrons la boîte
auteur
Caroline Collange
article
COMPAS 2019 - Conférence d'informatique en Parallélisme, Architecture et Système, Jun 2019, Anglet, France. pp.1-9
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02318324/file/Collange_Quantum_Compas19.pdf BibTex
titre
Energy Modeling of Wireless Body Area Networks with On-Body Communication Channel Characterization
auteur
Amina Nahali, Abdelaziz Hamdi, Matthieu Gautier, Antoine Courtay, Rafik Braham
article
International Wireless Communications & Mobile Computing Conference (IWCMC), Jun 2019, Tanger, Morocco
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02184476/file/nahali19iwcmc.pdf BibTex
titre
Sparse computation data dependence simplification for efficient compiler-generated inspectors
auteur
Mahdi Soltan Mohammadi, Michelle Mills Strout, Tomofumi Yuki, Kazem Cheshmi, Eddie Davis, Mary Hall, Maryam Mehri Dehnavi, Payal Nandy, Catherine Olschanowsky, Anand Venkat
article
PLDI 2019 - 40th ACM SIGPLAN Conference on Programming Language Design and Implementation, Jun 2019, Phoenix, United States. pp.594-609, ⟨10.1145/3314221.3314646⟩
Accès au bibtex
BibTex
titre
What You Simulate Is What You Synthesize: Design of a RISC-V Core from C++ Specifications
auteur
Simon Rokicki, Davide Pala, Joseph Paturel, Olivier Sentieys
article
RISC-V Workshop 2019, Jun 2019, Zurich, Switzerland. pp.1-2
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02394911/file/main.pdf BibTex
titre
Table-Based versus Shift-And-Add constant multipliers for FPGAs
auteur
Florent de Dinechin, Silviu-Ioan Filip, Luc Forget, Martin Kumm
article
ARITH 2019 - 26th IEEE Symposium on Computer Arithmetic, Jun 2019, Kyoto, Japan. pp.1-8
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02147078/file/2019-TruncatedCM.pdf BibTex
titre
Plateforme de protection de binaires configurable et dynamiquement adaptative
auteur
Kévin Le Bon, Byron Hawkins, Erven Rohou, Guillaume Hiet, Frédéric Tronel
article
RESSI 2019 - Rendez-Vous de la Recherche et de l'Enseignement de la Sécurité des Systèmes d'Information, May 2019, Erquy, France. pp.1-3
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02385216/file/main.pdf BibTex
titre
Fast performance evaluation of LoRa communications over Rayleigh fading channels
auteur
Jules Courjault, Baptiste Vrigneau, Olivier Berder
article
International Workshop on Mathematical Tools and technologies for IoT and mMTC Networks Modeling, IEEE Wireless Communications and Networking Conference (WCNC), Apr 2019, Marrakech, Morocco
Accès au texte intégral et bibtex
https://hal.science/hal-02181133/file/courjault19MoTION.pdf BibTex
titre
A Time-predictable Branch Predictor
auteur
Martin Schoeberl, Benjamin Rouxel, Isabelle Puaut
article
SAC 2019 - 34th ACM/SIGAPP Symposium on Applied Computing, Apr 2019, Limassol, Cyprus. pp.1-10, ⟨10.1145/3297280.3297337⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01976187/file/branchpred.pdf BibTex
titre
Playing with number for Energy Efficiency, Introduction to Approximate Computing
auteur
Olivier Sentieys
article
INC 2019 - IEEE International Nanodevices and Computing, Apr 2019, Grenoble, France
Accès au bibtex
BibTex
titre
Noise Budgeting in Multiple-Kernel Word-Length Optimization
auteur
Van-Phu Ha, Tomofumi Yuki, Olivier Sentieys
article
AxC 2019 - 4th Workshop on Approximate Computing, Mar 2019, Florence, Italy. pp.1-3
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02183936/file/AxC19_paper_7.pdf BibTex
titre
Aggressive Memory Speculation in HW/SW Co-Designed Machines
auteur
Simon Rokicki, Erven Rohou, Steven Derrien
article
DATE 2019 - 22nd IEEE/ACM Design, Automation and Test in Europe, Mar 2019, Florence, Italy. pp.332-335, ⟨10.23919/DATE.2019.8715010⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01941876/file/rokicki_DATE_19_short.pdf BibTex
titre
Accelerating Itemset Sampling using Satisfiability Constraints on FPGA
auteur
Mael Gueguen, Olivier Sentieys, Alexandre Termier
article
DATE 2019 - 22nd IEEE/ACM Design, Automation and Test in Europe, Mar 2019, Florence, Italy. pp.1046-1051, ⟨10.23919/DATE.2019.8714932⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01941862/file/main.pdf BibTex
titre
Fine-Grained Hardware Mitigation for Multiple Long-Duration Transients on VLIW Function Units
auteur
Rafail Psiakis, Angeliki Kritikakou, Olivier Sentieys
article
DATE 2019 - 22nd IEEE/ACM Design, Automation and Test in Europe, Mar 2019, Florence, Italy. pp.976-979, ⟨10.23919/DATE.2019.8714899⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01941860/file/DATE2019_RAFAIL_v3.pdf BibTex
titre
Approximation-aware Task Deployment on Asymmetric Multicore Processors
auteur
Lei Mo, Angeliki Kritikakou, Olivier Sentieys
article
DATE 2019 - 22nd IEEE/ACM Design, Automation and Test in Europe, Mar 2019, Florence, Italy. pp.1513-1518, ⟨10.23919/DATE.2019.8715077⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01940358/file/Mo18DATE.pdf BibTex
titre
Anchor Selection Algorithm for Mobile Indoor Positioning using WSN with UWB Radio
auteur
Antoine Courtay, Mickaël Le Gentil, Olivier Berder, Pascal Scalart, Sébastien Fontaine, Arnaud Carer
article
2019 IEEE Sensors Applications Symposium (SAS), Mar 2019, Sophia Antipolis, France. ⟨10.1109/SAS.2019.8706113⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02302424/file/PID5765493.pdf BibTex
titre
Improving NILM by Combining Sensor Data and Linear Programming
auteur
Nicolas Roux, Baptiste Vrigneau, Olivier Sentieys
article
SAS 2019 - IEEE Sensors Applications Symposium, Mar 2019, Sophia Antipolis, France. pp.1-6, ⟨10.1109/SAS.2019.8706021⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02394920/file/SAS19Roux.pdf BibTex
titre
Adaptive Optimization and Enforcement of Extra-Functional Properties in High Performance Computing: The ANTAREX Project
auteur
Cristina Silvano, Giovanni Agosta, Andrea Bartolini, Andrea R. Beccari, Luca Benini, Loïc Besnard, João Bispo, Radim Cmar, João M. P. Cardoso, Carlo Cavazzoni, Daniele Cesarini, Stefano Cherubin, Federico Ficarelli, Davide Gadioli, Martin Golasowski, Imane Lasri, Antonio Libri, Jan Martinovič, Gianluca Palermo, Pedro Pinto, Erven Rohou, Nico Sanna, Kateřina Slaninová, Emanuele Vitali
article
PDP 2019 - 27th Euromicro International Conference on Parallel, Distributed and Network-Based Processing, Feb 2019, Pavia, Italy. pp.116-123, ⟨10.1109/EMPDP.2019.8671584⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02197811/file/preprint_PDP_2019.pdf BibTex
titre
The Limit of Polynomials: Implications of Handelman’s Theorem for Exploring Schedules
auteur
Tomofumi Yuki
article
IMPACT 2019 - 9th International Workshop on Polyhedral Compilation Techniques, Jan 2019, Valencia, Spain. pp.1-8
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02397043/file/yuki-IMPACT2019.pdf BibTex

Book sections

titre
Fixed-point refinement of digital signal processing systems
auteur
Daniel Menard, Gabriel Caffarena, Juan Antonio Lopez, David Novo, Olivier Sentieys
article
Digitally Enhanced Mixed Signal Systems, Chapter 1, The Institution of Engineering and Technology, pp.1-37, 2019, 978-1-78561-609-9. ⟨10.1049/PBCS040E_ch⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01941898/file/FixedPointRefinement.pdf BibTex
titre
Analysis of Finite Word-Length Effects in Fixed-Point Systems
auteur
Daniel Ménard, Gabriel Caffarena, Juan Antonio Lopez, David Novo, Olivier Sentieys
article
Shuvra S. Bhattacharyya. Handbook of Signal Processing Systems, pp.1063-1101, 2019, 978-3-319-91733-7. ⟨10.1007/978-3-319-91734-4_29⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01941888/file/Analysis%20of%20Finite%20Word-Length%20Effects%20in%20Fixed-Point%20Systems.pdf BibTex

Habilitation à diriger des recherches

titre
Algorithmes et architectures adaptatifs pour les systèmes de communication sans fil efficaces en énergie
auteur
Matthieu Gautier
article
Architectures Matérielles [cs.AR]. Université de Rennes 1, 2019
Accès au texte intégral et bibtex
https://theses.hal.science/tel-02136001/file/gautier19hdr.pdf BibTex

Poster communications

titre
Hybrid-DBT: Hardware Accelerated Dynamic Binary Translation
auteur
Simon Rokicki, Erven Rohou, Steven Derrien
article
RISC-V 2019 - Workshop Zurich, Jun 2019, Zurich, Switzerland. pp.1
Accès au texte intégral et bibtex
https://hal.science/hal-02155019/file/Rokicki-Simon-CAIRN-45922.pdf BibTex
titre
Demo Abstract : Real-Time Ambient Backscatter Demonstration
auteur
K. Rachedi, D.-T Phan-Huy, N Selmene, A. Ourir, Matthieu Gautier, A. Gati, A Galindo-Serrano, R Fara, Julien de Rosny
article
IEEE International Conference on Computer Communications, Apr 2019, Paris, France
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02185131/file/rachedi19infocom_demo.pdf BibTex

Documents associated with scientific events

titre
A Comprehensive Analysis of Approximate Computing Techniques: From Component- to Application-Level
auteur
Alberto Bosio, Daniel Menard, Olivier Sentieys
article
DATE 2019 - 22nd IEEE/ACM Design, Automation and Test in Europe, Mar 2019, Florence, Italy. pp.1-5
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01941757/file/DATE%202019%20Proposal.pdf BibTex

Reports

titre
Deliverable D5.1 – Technical description of the holistic design flow in CONTINUUM
auteur
Rabab Bouziane, Erven Rohou, Florent Bruguier, Guillaume Devic, Abdoulaye Gamatié, Guilherme Leobas, Marcelo Novaes, David Novo, Pierre-Yves Péneau, Fernando Magno Quintão Pereira, Gilles Sassatelli, Stefano Bernabovi, Michael Chapman, Philippe Naudin
article
[Research Report] Inria Rennes – Bretagne Atlantique; LIRMM (UM, CNRS); Cortus S.A.S. 2019
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-03168363/file/D5.1.pdf BibTex
titre
A Simple Model of Processor Temperature for Deterministic Turbo Clock Frequency
auteur
Pierre Michaud
article
[Research Report] RR-9308, Inria. 2019
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02391970/file/RR-9308-v2.pdf BibTex

Theses

titre
Support logiciel robuste aux attaques passives et actives pour l'arithmétique de la cryptographie asymétrique sur des (très) petits cœurs de calcul
auteur
Audrey Lucas
article
Cryptographie et sécurité [cs.CR]. Université Rennes 1, 2019. Français. ⟨NNT : ⟩
Accès au texte intégral et bibtex
https://hal.science/tel-02425706/file/These_Lucas_Audrey.pdf BibTex
titre
Support logiciel robuste aux attaques passives et actives pour l'arithmétique de la cryptographie asymétrique sur des (très) petits coeurs de calcul
auteur
Audrey Lucas
article
Cryptographie et sécurité [cs.CR]. Université de Rennes, 2019. Français. ⟨NNT : 2019REN1S070⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-02519510/file/LUCAS_Audrey.pdf BibTex
titre
Performance Centric Dynamic Function Level Binary Transformation
auteur
Arif Ali Anapparakkal
article
Other [cs.OH]. Université de Rennes 1 [UR1], 2019. English. ⟨NNT : ⟩
Accès au texte intégral et bibtex
https://inria.hal.science/tel-02394383/file/Thesis_Arif_Dec_4_2019.pdf BibTex
titre
Transformation binaire de niveau de fonction dynamique axée sur les performances
auteur
Arif Ali Anapparakkal
article
Other [cs.OH]. Université de Rennes, 2019. English. ⟨NNT : 2019REN1S114⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-02972362/file/ANAPPARAKKAL_Arif.pdf BibTex
titre
Design and implementation of power management strategies for long range radio module with energy harvesting
auteur
Philip-Dylan Gléonec
article
Hardware Architecture [cs.AR]. Université de Rennes, 2019. English. ⟨NNT : 2019REN1S017⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-02281759/file/GLEONEC_Philip-Dylan.pdf BibTex
titre
Design and implementation of power management strategies for long range radio modules with energy harvesting
auteur
Philip-Dylan Gleonec
article
Hardware Architecture [cs.AR]. Université de Rennes 1 [UR1], 2019. English. ⟨NNT : ⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-02131685/file/gleonec19phd.pdf BibTex

2018

Journal articles

titre
New metric for IQ imbalance compensation in optical QPSK coherent systems
auteur
Trung Hien Nguyen, Pascal Scalart, Mathilde Gay, Laurent Bramerie, Christophe Peucheret, Fausto Gomez Agis, Olivier Sentieys, Jean-Claude Simon, Michel Joindot
article
Photonic Network Communications, 2018, 36 (3), pp.326-337. ⟨10.1007/s11107-018-0783-7⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01941892/file/PNET_author_version.pdf BibTex
titre
Runtime, Speculative On-Stack Parallelization of For-Loops in Binary Programs
auteur
Marwa Yusuf, Ahmed El-Mahdy, Erven Rohou
article
IEEE Letters of the Computer Society, 2018, pp.1-4. ⟨10.1109/LOCS.2018.2872454⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01890719/file/binary_speculation_locs__Revision__final.pdf BibTex
titre
DITVA: Dynamic Inter-Thread Vectorization Architecture
auteur
Sajith Kalathingal, Caroline Collange, Bharath N Swamy, André Seznec
article
Journal of Parallel and Distributed Computing, 2018, pp.1-32. ⟨10.1016/j.jpdc.2017.11.006⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01655904/file/Kalathingal_DITVA_JPDC18%20%281%29.pdf BibTex
titre
Hybrid-DBT: Hardware/Software Dynamic Binary Translation Targeting VLIW
auteur
Simon Rokicki, Erven Rohou, Steven Derrien
article
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2018, pp.1-14. ⟨10.1109/TCAD.2018.2864288⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01856163/file/rokicki_TCAD.pdf BibTex
titre
Rational Minimax Approximation via Adaptive Barycentric Representations
auteur
Silviu-Ioan Filip, Yuji Nakatsukasa, Lloyd Nicholas Trefethen, Bernhard Beckermann
article
SIAM Journal on Scientific Computing, 2018, 40 (4), pp.A2427-A2455
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01942974/file/remezR2_arxiv.pdf BibTex
titre
Offline Optimization of Wavelength Allocation and Laser Power in Nanophotonic Interconnects
auteur
Jiating Luo, Cédric Killian, Sébastien Le Beux, Daniel Chillet, Olivier Sentieys, Ian O'Connor
article
ACM Journal on Emerging Technologies in Computing Systems, 2018, 14 (2), pp.1 - 19. ⟨10.1145/3178453⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01934870/file/Main_doc%20%281%29.pdf BibTex
titre
Energy-Quality-Time Optimized Task Mapping on DVFS-enabled Multicores
auteur
Lei Mo, Angeliki Kritikakou, Olivier Sentieys
article
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2018, pp.1 - 10. ⟨10.1109/TCAD.2018.2857300⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01843918/file/8412529.pdf BibTex
titre
Controllable QoS for Imprecise Computation Tasks on DVFS Multicores with Time and Energy Constraints
auteur
Lei Mo, Angeliki Kritikakou, Olivier Sentieys
article
IEEE Journal on Emerging and Selected Topics in Circuits and Systems, 2018, 8 (4), pp.708-721. ⟨10.1109/JETCAS.2018.2852005⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01831297/file/08401498.pdf BibTex
titre
Energy-Efficient Scheduling of Real-Time Tasks in Reconfigurable Homogeneous Multi-core Platforms
auteur
Aymen Gammoudi, Adel Benzina, Mohamed Khalgui, Daniel Chillet
article
IEEE Transactions on Systems, Man, and Cybernetics: Systems, 2018, pp.1 - 14. ⟨10.1109/TSMC.2018.2865965⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01934955/file/TSMC%202018%20Pour%20Hal.pdf BibTex
titre
An Alternative TAGE-like Conditional Branch Predictor
auteur
Pierre Michaud
article
ACM Transactions on Architecture and Code Optimization, 2018, 15 (3), pp.1-24. ⟨10.1145/3226098⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01799442/file/halbatage.pdf BibTex
titre
Distributed Node Coordination for Real-Time Energy-Constrained Control in Wireless Sensor and Actuator Networks
auteur
Lei Mo, Xianghui Cao, Ye-Qiong Song, Angeliki Kritikakou
article
IEEE Internet of Things Journal, 2018, pp.1-12. ⟨10.1109/JIOT.2018.2839030⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01825524/file/08361405.pdf BibTex
titre
Leveraging Energy Harvesting and Wake-Up Receivers for Long-Term Wireless Sensor Networks
auteur
Fayçal Ait Aoudia, Matthieu Gautier, Michele Magno, Olivier Berder, Luca Benini
article
Sensors, 2018, 18 (5), ⟨10.3390/s18051578⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01794082/file/aitaoudia18sensors.pdf BibTex
titre
RLMan: an Energy Manager Based on Reinforcement Learning for Energy Harvesting Wireless Sensor Networks
auteur
Fayçal Ait Aoudia, Matthieu Gautier, Olivier Berder
article
IEEE Transactions on Green Communications and Networking, 2018, pp.1 - 11. ⟨10.1109/TGCN.2018.2801725⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01701688/file/rl_eh_long.pdf BibTex
titre
Long-Short Range Communication Network Leveraging LoRa and Wake-up Receiver
auteur
Fayçal Ait Aoudia, Matthieu Gautier, Michele Magno, Mickaël Le Gentil, Olivier Berder, Luca Benini
article
Microprocessors and Microsystems: Embedded Hardware Design , 2018, 56, pp.184-192
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01666858/file/main.pdf BibTex
titre
Quantized Feedback Based Diagonal Precoding for Nx1 MISO System with Generalized Orthogonal Space-Time Block Codes
auteur
Ankit Garg, Manav R. Bhatnagar, Olivier Berder, Baptiste Vrigneau
article
IEEE Transactions on Communications, 2018, 66, pp.91-104. ⟨10.1109/TCOMM.2017.2751599⟩
Accès au bibtex
BibTex
titre
DYNASCORE: DYNAmic Software COntroller to increase REsource utilization in mixed-critical systems
auteur
Angeliki Kritikakou, Thibaut Marty, Matthieu Roy
article
ACM Transactions on Design Automation of Electronic Systems, 2018, 23 (2), pp.art ID n°13. ⟨10.1145/3110222⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01559696/file/DYNAMIC_final.pdf BibTex

Conference papers

titre
Adaptive relaying for wireless sensor networks leveraging wake-up receiver
auteur
Nour El Hoda Djidi, Antoine Courtay, Matthieu Gautier, Oliver Berder
article
IEEE International Conference on Electronics Circuits and Systems (ICECS), Dec 2018, Bordeaux, France
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01900670/file/PID5627391.pdf BibTex
titre
Enabling Overclocking with HLS Tools through Algorithm-Level Error Detection
auteur
Thibaut Marty, Tomofumi Yuki, Steven Derrien
article
FPT 2018 - International Conference on Field-Programmable Technology, Dec 2018, Naha, Japan
Accès au bibtex
BibTex
titre
Run-Time management of energy-performance trade-off in Optical Network-on-Chip
auteur
Jiating Luo, Van-Dung Pham, Cédric Killian, Daniel Chillet, Ian O'Connor, Olivier Sentieys, Sébastien Le Beux
article
DCIS 2018 - XXXIII Conference on Design of Circuits and Integrated Systems, Nov 2018, Lyon, France. pp.1-6, ⟨10.1109/DCIS.2018.8681477⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01937350/file/DCS_2018_Dynamic_tradeoff.pdf BibTex
titre
Synergistic Cache Layout For Reuse and Compression
auteur
Biswabandan Panda, André Seznec
article
PACT ’18 - International conference on Parallel Architectures and Compilation Techniques, Nov 2018, Limassol, Cyprus. pp.1-13, ⟨10.1145/3243176.3243178⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01888880/file/PACT18-Biswabandan-2.pdf BibTex
titre
Cost Effective Speculation with the Omnipredictor
auteur
Arthur Perais, André Seznec
article
PACT '18 - 27th International Conference on Parallel Architectures and Compilation Techniques, Nov 2018, Limassol, Cyprus. ⟨10.1145/3243176.3243208⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01888884/file/main_document.pdf BibTex
titre
Energy-Efficient Memory Mappings based on Partial WCET Analysis and Multi-Retention Time STT-RAM
auteur
Rabab Bouziane, Erven Rohou, Abdoulaye Gamatié
article
RTNS: Real-Time Networks and Systems, Oct 2018, Poitiers, France. pp.148-158, ⟨10.1145/3273905.3273908⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01871320/file/RTNS%2718.pdf BibTex
titre
Extending Index-Array Properties for Data Dependence Analysis
auteur
Mahdi Soltan Mohammadi, Kazem Cheshmi, Maryam Mehri Dehnavi, Anand Venkat, Tomofumi Yuki, Michelle Mills Strout
article
LCPC 2018 - 31st International Workshop on Languages and Compilers for Parallel Computing, Oct 2018, Salt Lake City, United States
Accès au bibtex
BibTex
titre
Comparison of Different Methods Making Use of Backup Copies for Fault-Tolerant Scheduling on Embedded Multiprocessor Systems
auteur
Petr Dobiáš, Emmanuel Casseau, Oliver Sinnen
article
DASIP 2018 - Conference on Design and Architectures for Signal and Image Processing, Oct 2018, Porto, Portugal. pp.1-7
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01942186/file/DASIP_2018_v_Hal.pdf BibTex
titre
A Diversity Scheme to Enhance the Reliability of Wireless NoC in Multipath Channel Environment
auteur
Joel Ortiz Sosa, Olivier Sentieys, Christian Roland
article
Twelfth IEEE/ACM International Symposium on Networks-on-Chip (NOCS), Oct 2018, Torino, Italy. pp.1-8, ⟨10.1109/NOCS.2018.8512165⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01941761/file/A%20Diversity%20Scheme%20to%20Enhance%20the%20Reliability%20of%20Wireless%20NoC%20in%20Multipath%20%20Channel%20Environment.pdf BibTex
titre
Energy-Quality-Time Optimized Task Mapping on DVFS-enabled Multicores
auteur
Lei Mo, Angeliki Kritikakou, Olivier Sentieys
article
ESWEEK 2018 - Embedded Systems Week, Sep 2018, Torino, Italy. pp.1-11
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01941764/file/Energy-Quality-Time%20Optimized%20Task%20Mapping%20on%20DVFS-enabled%20Multicores.pdf BibTex
titre
MLExplain
auteur
Kévin Le Bon, Alan Schmitt
article
OCaml 2018, Sep 2018, Saint Louis, United States. pp.1-4
Accès au texte intégral et bibtex
https://inria.hal.science/hal-02056392/file/mlexplain.pdf BibTex
titre
Collaborative State Estimation and Actuator Scheduling for Cyber-Physical Systems under Random Multiple Events
auteur
Lei Mo, Angeliki Kritikakou, Xianghui Cao
article
AdHoc-Now 2018 - 17th International Conference on Ad Hoc Networks and Wireless, Sep 2018, Saint Malo, France. pp.267-279, ⟨10.1007/978-3-030-00247-3_24⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01857496/file/AdHocNow2018_019_original_v3.pdf BibTex
titre
ANTAREX: A DSL-based Approach to Adaptively Optimizing and Enforcing Extra-Functional Properties in High Performance Computing
auteur
Cristina Silvano, Giovanni Agosta, Andrea Bartolini, Andrea R. Beccari, Luca Benini, Loïc Besnard, João Bispo, Radim Cmar, Joao M. R. Cardoso, Carlo Cavazzoni, Stefano Cherubin, Davide Gadioli, Martin Golasowski, Imane Lasri, Jan Martinovič, Gianluca Palermo, Pedro Pinto, Erven Rohou, Nico Sanna, Kateřina Slaninová, Emanuele Vitali
article
DSD 2018 - 21st Euromicro Conference on Digital System Design, Aug 2018, Prague, Czech Republic. pp.1-8, ⟨10.1109/DSD.2018.00105⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01890152/file/DSD2018_ANTAREX.pdf BibTex
titre
Microcontroller Implementation of Simultaneous Protections Against Observation and Perturbation Attacks for ECC
auteur
Audrey Lucas, Arnaud Tisserand
article
SECRYPT: 15th International Conference on Security and Cryptography, Jul 2018, Porto, Portugal. pp.1-8, ⟨10.5220/0006884605700577⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01826303/file/Microcontroller_Implementation_of_Simultaneous_Protections_Against_SCA_and_FA_for_ECC.pdf BibTex
titre
FITTCHOOSER: A Dynamic Feedback-Based Fittest Optimization Chooser
auteur
Arif Ali Ap, Kévin Le Bon, Byron Hawkins, Erven Rohou
article
HPCS 2018 - 16th International Conference on High Performance Computing & Simulation - Special Session on Compiler Architecture, Design and Optimization, Jul 2018, Orléans, France. pp.1-8
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01808658/file/cado.fc.pdf BibTex
titre
Evaluation of Approximate Operators Case Study: Sobel Filter Application Executed on an Approximate RISC-V Platform
auteur
Geneviève Ndour, Tiago Trevisan Jost, Anca Molnos, Yves Durand, Arnaud Tisserand
article
SAMOS: 18th International Conference on Embedded Computer Systems: Architectures, Modeling and Simlation, Jul 2018, Pythagorion, Greece. pp.146-149
Accès au texte intégral et bibtex
https://hal.science/hal-02055464/file/SAMOS.pdf BibTex
titre
Partial Worst-Case Execution Time Analysis
auteur
Rabab Bouziane, Erven Rohou, Abdoulaye Gamatié
article
ComPAS: Conférence en Parallélisme, Architecture et Système, Jul 2018, Toulouse, France. pp.1-8
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01803006/file/Compas_2018.pdf BibTex
titre
Fine-Grain Iterative Compilation for WCET Estimation
auteur
Isabelle Puaut, Mickaël Dardaillon, Christoph Cullmann, Gernot Gebhard, Steven Derrien
article
WCET 2018 - 18th International Workshop on Worst-Case Execution Time Analysis, Jul 2018, Barcelona, Spain. pp.1-12, ⟨10.4230/OASIcs.WCET.2018.9⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01889944/file/WCET_2018.pdf BibTex
titre
Energy/Reliability Trade-off of LoRa Communications over Fading Channels
auteur
Xuan-Chien Le, Baptiste Vrigneau, Matthieu Gautier, Malo Mabon, Olivier Berder
article
International Conference on Telecommunication, Jun 2018, Saint-Malo, France
Accès au texte intégral et bibtex
https://hal.science/hal-01816574/file/le18ict.pdf BibTex
titre
On multiplicative update with forgetting factor adaptive step size for least mean-square algorithms
auteur
Robin Gerzaguet, Laurent Ros, Fabrice Belvèze, Jean-Marc Brossier
article
ICT 2018 - 25th International Conference on Telecommunications, Jun 2018, Saint-Malo, France
Accès au texte intégral et bibtex
https://hal.science/hal-01820720/file/ICT_MUFF.pdf BibTex
titre
A Real-World Evaluation of Energy Budget Estimation Algorithms for Autonomous Long Range IoT Nodes
auteur
Philip-Dylan Gleonec, Jeremy Ardouin, Matthieu Gautier, Olivier Berder
article
International Conference on Telecommunication, Jun 2018, Saint-Malo, France
Accès au texte intégral et bibtex
https://hal.science/hal-01816578/file/gleonec18ict.pdf BibTex
titre
Analytical study of 5G NR eMBB co-existence
auteur
David Demmer, Robin Gerzaguet, Jean-Baptiste Doré, Didier Le Ruyet
article
2018 25th International Conference on Telecommunications (ICT), Jun 2018, St. Malo, France. ⟨10.1109/ICT.2018.8464938⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01936001/file/1805.05591.pdf BibTex
titre
A High Throughput Polynomial and Rational Function Approximations Evaluator
auteur
Nicolas Brisebarre, George Constantinides, Miloš Ercegovac, Silviu-Ioan Filip, Matei Istoan, Jean-Michel Muller
article
ARITH 2018 - 25th IEEE Symposium on Computer Arithmetic, Jun 2018, Amherst, MA, United States. pp.99-106, ⟨10.1109/ARITH.2018.8464778⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01774364/file/emet_rr.pdf BibTex
titre
A Simulator for Evaluating the Leakage in Arithmetic Circuits
auteur
Audrey Lucas
article
CryptArchi 2018 - International Workshop on Cryptographic architectures embedded in logic devices, Jun 2018, Lorient, France. pp.1-24
Accès au texte intégral et bibtex
https://hal.science/hal-01841048/file/lucas.pdf BibTex
titre
Exploring value prediction with the EVES predictor
auteur
André Seznec
article
CVP-1 2018 - 1st Championship Value Prediction, Jun 2018, Los Angeles, United States. pp.1-6
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01888864/file/CVP1-Final.pdf BibTex
titre
Feature Selection Framework for Multi-source Energy Harvesting Wireless Sensor Networks
auteur
Marwa Lagha Kazdoghli, Fayçal Ait Aoudia, Matthieu Gautier, Olivier Berder
article
IEEE Vehicular Technology Conference (VTC-Spring), Jun 2018, Porto, Portugal
Accès au texte intégral et bibtex
https://hal.science/hal-01794094/file/lagha18ieeevtc.pdf BibTex
titre
Evaluation analytique des wake-up radios pour les réseaux de capteurs sans fil
auteur
Fayçal Ait Aoudia, Matthieu Gautier, Olivier Berder
article
Rencontres Francophones sur la Conception de Protocoles, l’Évaluation de Performance et l’Expérimentation des Réseaux de Communication, May 2018, Roscoff, France
Accès au texte intégral et bibtex
https://hal.science/hal-01784560/file/algotel_wakeup_protocol.pdf BibTex
titre
Restricted Scheduling Windows for Dynamic Fault-Tolerant Primary/Backup Approach-Based Scheduling on Embedded Systems
auteur
Petr Dobiáš, Emmanuel Casseau, Oliver Sinnen
article
SCOPES '18 - 21th International Workshop on Software and Compilers for Embedded Systems, May 2018, Sankt Goar, Germany. pp.27-30, ⟨10.1145/3207719.3207724⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01942185/file/SCOPES_2018_v_Hal.pdf BibTex
titre
Zyggie: A Wireless Body Area Network platform for indoor positioning and motion tracking
auteur
Antoine Courtay, Mickaël Le Gentil, Olivier Berder, Arnaud Carer, Pascal Scalart, Olivier Sentieys
article
ISCAS 2018 - IEEE International Symposium on Circuits and Systems, May 2018, Florence, Italy. pp.1-5, ⟨10.1109/ISCAS.2018.8351278⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01804927/file/ISCAS_2018%281%29.pdf BibTex
titre
Autotuning and Adaptivity in Energy Efficient HPC Systems: The ANTAREX Toolbox
auteur
Cristina Silvano, Gianluca Palermo, Giovanni Agosta, Amir H Ashouri, Davide Gadioli, Stefano Cherubin, Emanuele Vitali, Luca Benini, Andrea Bartolini, Daniele Cesarini, João Cardoso, João Bispo, Pedro Pinto, Riccardo Nobre, Erven Rohou, Loïc Besnard, Imane Lasri, Nico Sanna, Carlo Cavazzoni, Radim Cmar, Jan Martinovič, Kateřina Slaninová, Martin Golasowski, Andrea R. Beccari, Candida Manelfi
article
CF 2018 - 15th ACM International Conference on Computing Frontiers, May 2018, Ischia, Italy. pp.270-275, ⟨10.1145/3203217.3205338⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01932706/file/CF2018-ok.pdf BibTex
titre
Dispositifs acoustiques pour la furtivité et la discrétion
auteur
Anne-Christine Hladky-Hennion, M. Tran, T. Van Nhieu, M Pham, L. Divay, B. Dubus, C. Croenne, J. Roland, R. Lardat, G. Maze, F. Leon, F. Chati
article
Congrès Français d'Acoustique, CFA 2018, Apr 2018, Le Havre, France
Accès au bibtex
BibTex
titre
Mapping of Periodic Tasks in Reconfigurable Heterogeneous Multi-core Platforms
auteur
Aymen Gammoudi, Daniel Chillet, Mohamed Khalgui, Adel Benzina
article
ENASE 2018 - 13th International Conference on Evaluation of Novel Approaches to Software Engineering, Mar 2018, Funchal, Portugal. pp.99-110, ⟨10.5220/0006698500990110⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01936163/file/ENASE2018%20Pour%20Hal.pdf BibTex
titre
Using Polyhedral Techniques to Tighten WCET Estimates of Optimized Code: A Case Study with Array Contraction
auteur
Thomas Lefeuvre, Emin Koray Kasnakli, Imen Fassi, Isabelle Puaut, Christoph Cullmann, Steven Derrien, Gernot Gebhard
article
DATE 2018 - Design Automation and Test Europe, Mar 2018, Dresden, Germany. pp.925-930, ⟨10.23919/DATE.2018.8342142⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01815499/file/DATE_2018.pdf BibTex
titre
Supporting Runtime Reconfigurable VLIWs Cores Through Dynamic Binary Translation
auteur
Simon Rokicki, Erven Rohou, Steven Derrien
article
DATE 2018 - IEEE/ACM Design, Automation & Test in Europe Conference & Exhibition, Mar 2018, Dresden, Germany. pp.1009-1014, ⟨10.23919/DATE.2018.8342160⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01653110/file/rokicki_18_hal.pdf BibTex
titre
Qubit Allocation
auteur
Marcos Yukio Siraichi, Vinicius Fernandes Dos Santos, Caroline Collange, Fernando Magno Quintão Pereira
article
CGO 2018 - International Symposium on Code Generation and Optimization, Feb 2018, Vienna, Austria. pp.1-12, ⟨10.1145/3168822⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01655951/file/Siraichi_QubitAllocation_CGO18.pdf BibTex
titre
Compile-Time Silent-Store Elimination for Energy Efficiency: an Analytic Evaluation for Non-Volatile Cache Memory
auteur
Rabab Bouziane, Erven Rohou, Abdoulaye Gamatié
article
RAPIDO: Rapid Simulation and Performance Evaluation, HiPEAC, Jan 2018, Manchester, United Kingdom. pp.1-8, ⟨10.1145/3180665.3180666⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01660686/file/RAPIDO%2718_paper7_.pdf BibTex

Book sections

titre
Imprecise Computation Task Mapping on Multi-Core Wireless Sensor Networks
auteur
Lei Mo, Angeliki Kritikakou, Olivier Sentieys
article
Encyclopedia of Wireless Networks, pp.1 - 6, In press, 978-3-319-32903-1. ⟨10.1007/978-3-319-32903-1_261-1⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01900174/file/author.pdf BibTex

Poster communications

titre
Easy Optical Defragmentation with SDN Controlled Tunable Transmitter
auteur
Arnaud Dupas, Dominique Verchere, Quan Pham Van, Patricia Layec, Laurent Bramerie, Arnaud Carer, Benoît Haentjens, Ester Le Rouzic
article
44th European Conference on Optical Communication (ECOC 2018), Sep 2018, Roma, Italy. IEEE Xplore Digital Library, 2018, 2018 European Conference on Optical Communication (ECOC). ⟨10.1109/ECOC.2018.8535104⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01934746/file/201809_ECOC_easy_optical_defragmentation_A_DUPAS_v3-1.pdf BibTex
titre
Demo Abstract: Multi-source energy management for Long Range IoT nodes
auteur
Philip-Dylan Gleonec, Jeremy Ardouin, Matthieu Gautier, Olivier Berder
article
International Conference on Telecommunication, Jun 2018, Saint-Malo, France. 2018
Accès au texte intégral et bibtex
https://hal.science/hal-01819048/file/gleonec18ict_demo.pdf BibTex
titre
The INRIA ZEP project: NVRAM and Harvesting for Zero Power Computations
auteur
Gautier Berthou, Arnaud Carer, Kevin Marquet, Ivan Miro-Panades, Davide Pala, Isabelle Puaut, Fabrice Rastello, Tanguy Risset, Erven Rohou, Guillaume Salagnac, Olivier Sentieys, Bharam Yarahmadi, Henri-Pierre Charles
article
NVMW 2018 - 10th Annual Non-Volatile Memories Workshop, Mar 2018, San Diego, United States. pp.1
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01941766/file/ZEP-NVMW18.pdf BibTex
titre
Estimating Power Loads from Partial Appliance States
auteur
Nicolas Roux, Baptiste Vrigneau, Olivier Sentieys
article
NILM 2018 - 4th International Workshop on Non-Intrusive Load Monitoring, Mar 2018, Austin, United States
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01941877/file/Poster_NILM2018.pdf BibTex

Documents associated with scientific events

titre
A Comprehensive Analysis of Approximate Computing Techniques: From Component- to Application-Level
auteur
Alberto Bosio, Daniel Menard, Olivier Sentieys
article
ESWEEK 2018 - Embedded Systems Week, Sep 2018, Torino, Italy. pp.1-2
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01941755/file/Tutorial_AxC_v3.pdf BibTex
titre
Playing with number representations and operator-level approximations
auteur
Olivier Sentieys
article
Keynote at the Third Workshop on Approximate Computing (AxC), in conjunction with IEEE European Test Symposium (ETS), Jun 2018, Bremen, Germany. 2018
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01941868/file/keynote_AxC18_sentieys.pdf BibTex

Reports

titre
Semantic Array Dataflow Analysis
auteur
Paul Iannetta, Laure Gonnord, Lionel Morel, Tomofumi Yuki
article
[Research Report] RR-9232, Inria Grenoble Rhône-Alpes. 2018, pp.1-22
Accès au texte intégral et bibtex
https://hal.science/hal-01954396/file/RR-9232.pdf BibTex
titre
Sparse Matrix Code Dependence Analysis Simplification at Compile Time
auteur
Mahdi Soltan Mohammadi, Kazem Cheshmi, Ganesh Gopalakrishnan, Mary Hall, Maryam Mehri Dehnavi, Anand Venkat, Tomofumi Yuki, Michelle Mills Strout
article
[Research Report] Arxiv. 2018
Accès au bibtex
BibTex
titre
Algorithm Level Timing Speculation for Convolutional Neural Network Accelerators
auteur
Thibaut Marty, Tomofumi Yuki, Steven Derrien
article
[Technical Report] RT-0500, Univ Rennes, Inria, CNRS, IRISA, France. 2018, pp.1-17
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01811231/file/RT-0500.pdf BibTex

Software

titre
Software - ANR Continuum D2.3 - Illustration of system reconfiguration due to varying conditions: same-island, and migration
auteur
Erven Rohou
article
2018, ⟨swh:1:dir:ad6b75ab60ccb22c063e81cff8ec403086d4396e;origin=https://hal.archives-ouvertes.fr/hal-03375509;visit=swh:1:snp:8595e9bbbbc060c6373859bde55a56352bc6d42a;anchor=swh:1:rev:6063801811e8f607e9c715f186de95043b4594a8;path=/⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-03375509/file/d2.3.tar.gz BibTex

Theses

titre
Performance Optimization Mechanisms for Fault-Resilient VLIW Processors
auteur
Rafail Psiakis
article
Embedded Systems. Université de Rennes 1, 2018. English. ⟨NNT : ⟩
Accès au texte intégral et bibtex
https://inria.hal.science/tel-01956233/file/Thesis_Rafail.pdf BibTex
titre
Mécanismes d'optimisation des performances des processeurs VLIW à tolérance de fautes
auteur
Rafail Psiakis
article
Embedded Systems. Université de Rennes, 2018. English. ⟨NNT : 2018REN1S095⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-02137404/file/PSIAKIS_Rafail.pdf BibTex
titre
Minimising communication costs impact when scheduling real-time applications on multi-core architectures
auteur
Benjamin Rouxel
article
Computer Science [cs]. Université de Rennes 1, 2018. English. ⟨NNT : ⟩
Accès au texte intégral et bibtex
https://inria.hal.science/tel-01945456/file/these.pdf BibTex
titre
Accélération matérielle pour la traduction dynamique de programmes binaires
auteur
Simon Rokicki
article
Architectures Matérielles [cs.AR]. Université de Rennes, 2018. Français. ⟨NNT : 2018REN1S086⟩
Accès au texte intégral et bibtex
https://hal.science/tel-01959136/file/ROKICKI_Simon.pdf BibTex
titre
Architectural Exploration of Network Interface for Energy Efficient 3D Optical Network-on-Chip
auteur
Van-Dung Pham
article
Embedded Systems. Université de rennes 1, 2018. English. ⟨NNT : ⟩
Accès au texte intégral et bibtex
https://inria.hal.science/tel-01956229/file/Thesis_pham.pdf BibTex
titre
Software-level analysis and optimization to mitigate the cost of write operations on non-volatile memories
auteur
Rabab Bouziane
article
Performance [cs.PF]. Université de Rennes, 2018. English. ⟨NNT : 2018REN1S073⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-02089718/file/BOUZIANE_Rabab.pdf BibTex
titre
Unités arithmétiques et cryptoprocesseurs matériels pour la cryptographie sur courbe hyperelliptique
auteur
Gabriel Gallin
article
Cryptographie et sécurité [cs.CR]. Université de Rennes, 2018. Français. ⟨NNT : 2018REN1S071⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-01989822/file/GALLIN_Gabriel.pdf BibTex
titre
Towards hardware synthesis of a flexible radio from a high-level language
auteur
Mai-Thanh Tran
article
Networking and Internet Architecture [cs.NI]. Université de Rennes, 2018. English. ⟨NNT : 2018REN1S072⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-02089176/file/TRAN_Mai_Thanh.pdf BibTex
titre
Architectural and Protocol Exploration for 3D Optical Network-on-Chip
auteur
Jiating Luo
article
Hardware Architecture [cs.AR]. Université de Rennes 1 [UR1], 2018. English. ⟨NNT : ⟩
Accès au texte intégral et bibtex
https://inria.hal.science/tel-01956255/file/These_Jiating_fin.pdf BibTex
titre
Stratégie de Placement et d’Ordonnancement de Tâches Logicielles pour les Architectures Reconfigurables sous Contrainte Énergétique
auteur
Aymen Gammoudi
article
Système d'exploitation [cs.OS]. Université de rennes 1; Université de Carthage (Tunisie), 2018. Français. ⟨NNT : ⟩
Accès au texte intégral et bibtex
https://inria.hal.science/tel-01956241/file/Th%C3%A8se%20Aymen%20Version%20Finale.pdf BibTex
titre
Ordonnancement temps-réel conscient des caches dans des architectures multi-cœurs : algorithmes et réalisation
auteur
Viet Anh Nguyen
article
Architectures Matérielles [cs.AR]. Université de Rennes 1 [UR1], 2018. Français. ⟨NNT : ⟩
Accès au texte intégral et bibtex
https://inria.hal.science/tel-01933422/file/ThesisNguyen.pdf BibTex

Preprints, Working Papers, ...

titre
An instance optimality property for approximation problems with multiple approximation subspaces
auteur
Cedric Herzet, Mamadou Diallo, Patrick Héas
article
2018
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01913339/file/MS_ROM_ACM.pdf BibTex

2017

Journal articles

titre
Green Communication via Cooperative Protocols using Message-Passing Decoder over AWGN Channels
auteur
Haïfa Farès, Baptiste Vrigneau, Olivier Berder, Pascal Scalart
article
IET Communications, 2017, 11 (15), pp.2320-2327. ⟨10.1049/iet-com.2016.1188⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02087948/file/GreenCommCoop_v3_SimpleColumn.pdf BibTex
titre
Impact of ADC parameters on linear optical sampling systems
auteur
Trung Hien Nguyen, Mathilde Gay, Fausto Gomez Agis, Sébastien Lobo, Olivier Sentieys, Jean-Claude Simon, Christophe Peucheret, Laurent Bramerie
article
Optics Communications, 2017, 402, pp.362-367. ⟨10.1016/j.optcom.2017.06.013⟩
Accès au bibtex
BibTex
titre
Tightening Contention Delays While Scheduling Parallel Applications on Multi-core Architectures
auteur
Benjamin Rouxel, Steven Derrien, Isabelle Puaut
article
ACM Transactions on Embedded Computing Systems (TECS), 2017, 16 (5s), pp.1 - 20. ⟨10.1145/3126496⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01655383/file/TECS_2017_HAL.pdf BibTex
titre
Blind transmitter IQ imbalance compensation in M-QAM optical coherent systems
auteur
Trung Hien Nguyen, Pascal Scalart, Mathilde Gay, Laurent Bramerie, Olivier Sentieys, Jean-Claude Simon, Christophe Peucheret, Michel Joindot
article
Journal of Optical Communications and Networking, 2017, Special Issue on IEEE ICC 2016 ONS Symposium and OWC Workshop, 9 (9), pp.D42-D50. ⟨10.1364/JOCN.9.000D42⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01573632/file/Nguyen_jocn_17_revised.pdf BibTex
titre
Waveform contenders for 5G: Description, analysis and comparison
auteur
Jean-Baptiste Doré, Robin Gerzaguet, Nicolas Cassiau, Dimitri Kténas
article
Physical Communication, 2017, 24, pp.46 - 61. ⟨10.1016/j.phycom.2017.05.004⟩
Accès au texte intégral et bibtex
https://cea.hal.science/cea-01848639/file/2017_Elsvier_Waveform_contenders_for_5G_Description_Analysis_and_Comparison.pdf BibTex
titre
Energy-Efficiency Comparison of Multi-Layer Deposited Nanophotonic Crossbar Interconnects
auteur
Hui Li, Sébastien Le Beux, Martha Johanna Sepulveda Florez, Ian O'Connor
article
ACM Journal on Emerging Technologies in Computing Systems, 2017, XX
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01508192/file/Manuscript.pdf BibTex
titre
Distributed Computation of Fair Packet Rates in Energy Harvesting Wireless Sensor Networks
auteur
Fayçal Ait Aoudia, Matthieu Gautier, Olivier Berder
article
IEEE Wireless Communications Letters, 2017
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01586503/file/conv_opt.pdf BibTex
titre
A Band-pass Prefetching : An Effective Prefetch Management Mechanism using Prefetch-fraction Metric in Multi-core Systems
auteur
Aswinkumar Sridharan, Biswabandan Panda, André Seznec
article
ACM Transactions on Architecture and Code Optimization, 2017
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01519648/file/Band-passPrefetching_CameraReady.pdf BibTex
titre
A Generic Framework for Modeling MAC Protocols in Wireless Sensor Networks
auteur
Fayçal Ait Aoudia, Matthieu Gautier, Michele Magno, Olivier Berder, Luca Benini
article
IEEE/ACM Transactions on Networking, 2017, 25 (3), pp.1 - 12. ⟨10.1109/TNET.2016.2631642⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01416008/file/aitaoudia2016ieeeton.pdf BibTex
titre
Runtime Vectorization Transformations of Binary Code
auteur
Nabil Hallou, Erven Rohou, Philippe Clauss
article
International Journal of Parallel Programming, 2017, 8 (6), pp.1536 - 1565. ⟨10.1007/s10766-016-0480-z⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01593216/file/DynamicRevectorizationExtended.pdf BibTex
titre
On the Interactions Between Value Prediction and Compiler Optimizations in the Context of EOLE
auteur
Fernando Endo, Arthur Perais, André Seznec
article
ACM Transactions on Architecture and Code Optimization, 2017
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01519869/file/article-7.pdf BibTex
titre
Dynamic and Discrete Cache Insertion Policies for Managing Shared Last Level Caches in Large Multicores
auteur
Aswinkumar Sridharan, André Seznec
article
Journal of Parallel and Distributed Computing, 2017, 106, pp.215-226. ⟨10.1016/j.jpdc.2017.02.004⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01519650/file/procs-template%20%281%29.pdf BibTex
titre
Parallel Custom Instruction Identification for Extensible Processors
auteur
Chenglong Xiao, Shanshan Wang, Wanjun Liu, Emmanuel Casseau
article
Journal of Systems Architecture, 2017, 76, pp.149-159. ⟨10.1016/j.sysarc.2016.11.011⟩
Accès au bibtex
BibTex
titre
A collision management structure for NoC deployment on multi-FPGA
auteur
Atef Dorai, Virginie Fresse, Catherine Combes, El-Bay Bourennane, Abdellatif Mtibaa
article
Microprocessors and Microsystems: Embedded Hardware Design , 2017, 49, pp.28 - 43. ⟨10.1016/j.micpro.2017.01.006⟩
Accès au bibtex
BibTex
titre
Imperfect-Quantized-Feedback-Based Beamforming for an FSO MISO System Over Gamma–Gamma Fading With Pointing Errors
auteur
Ankit Garg, Manav R. Bhatnagar, Olivier Berder, Baptiste Vrigneau
article
Journal of Optical Communications and Networking, 2017, 9 (11), ⟨10.1364/JOCN.9.001005⟩
Accès au bibtex
BibTex
titre
Co-Simulating Complex Energy Harvesting WSN Applications: An In-Tunnel Wind Powered Monitoring Example
auteur
Le-Quang-Vinh Tran, Amine Didioui, Carolynn Bernier, Gregory Vaumourin, Florian Broekaert, Agnes Fritch
article
International Journal of Sensor Networks, 2017, 23 (2), ⟨10.1504/IJSNET.2017.081336⟩
Accès au bibtex
BibTex
titre
Hybrid Obfuscation to Protect against Disclosure Attacks on Embedded Microprocessors
auteur
Marc Fyrbiak, Simon Rokicki, Nicolai Bissantz, Russell Tessier, Christof Paar
article
IEEE Transactions on Computers, 2017
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01426565/file/TC_camera_ready.pdf BibTex

Conference papers

titre
How Could Compile-Time Program Analysis help Leveraging Emerging NVM Features?
auteur
Rabab Bouziane, Erven Rohou, Abdoulaye Gamatié
article
EDiS: Embedded and Distributed Systems, Dec 2017, Oran, Algeria. pp.1-6, ⟨10.1109/EDIS.2017.8284031⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01655195/file/EDIS%2717_paper9.pdf BibTex
titre
Architecture level Optimizations for Kummer based HECC on FPGAs
auteur
Gabriel Gallin, Turku Ozlum Celik, Arnaud Tisserand
article
IndoCrypt 2017 - 18th International Conference on Cryptology in India, Dec 2017, Chennai, India. pp.44-64, ⟨10.1007/978-3-319-71667-1_3⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01614063/file/article_indocrypt2017.pdf BibTex
titre
Evaluation of NoC on Multi-FPGA Interconnection Using GTX Transceiver
auteur
Atef Dorai, Olivier Sentieys, Héléne Dubois
article
24th IEEE International Conference on Electronics, Circuits and Systems (ICECS), Dec 2017, Batumi, Georgia
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01633785/file/Dorai17ICECS.pdf BibTex
titre
Decomposed Task Mapping to Maximize QoS in Energy-Constrained Real-Time Multicores
auteur
Lei Mo, Angeliki Kritikakou, Olivier Sentieys
article
35th IEEE International Conference on Computer Design (ICCD), Nov 2017, Boston, United States. pp.6
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01633782/file/Mo17ICCD.pdf BibTex
titre
Hyper-Threaded Multiplier for HECC
auteur
Gabriel Gallin, Arnaud Tisserand
article
Asilomar Conference on Signals, Systems, and Computers, Oct 2017, Pacific Grove, CA, United States
Accès au texte intégral et bibtex
https://hal.science/hal-01620046/file/article-asilomar17-htmm.pdf BibTex
titre
Tightening contention delays while scheduling parallel applications on multi-core architectures
auteur
Benjamin Rouxel, Steven Derrien, Isabelle Puaut
article
International Conference on Embedded Software (EMSOFT), 2017, Oct 2017, Seoul, South Korea. pp.20, ⟨10.1145/3126496⟩
Accès au texte intégral et bibtex
https://hal.sorbonne-universite.fr/hal-01590508/file/EMSOFT2017_HAL.pdf BibTex
titre
Simty: generalized SIMT execution on RISC-V
auteur
Caroline Collange
article
CARRV 2017 - 1st Workshop on Computer Architecture Research with RISC-V, Oct 2017, Boston, United States. pp.6
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01622208/file/collange_simty_carrv17.pdf BibTex
titre
Minimal Distance Approach for Studying Multi-form MIMO Precoders, Application to Finite-SNR DMT
auteur
Thanh-Tin Nguyen, Baptiste Vrigneau, Olivier Berder
article
PIMRC 2017 - IEEE International Symposium on Personal, Indoor and Mobile Radio Communications , Oct 2017, Montréal, Canada
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01643142/file/Thanh_Tin_PIMRC17_Finale.pdf BibTex
titre
Quantifying WCET reduction of parallel applications by introducing slack time to limit resource contention
auteur
Sebastien Martinez, Damien Hardy, Isabelle Puaut
article
International Conference on Real-Time Networks and Systems (RTNS), 2017, Oct 2017, Grenoble, France. ⟨10.475/123_4⟩
Accès au texte intégral et bibtex
https://hal.sorbonne-universite.fr/hal-01590532/file/RTNS_HAL.pdf BibTex
titre
Customizing Fixed-Point and Floating-Point Arithmetic - A Case Study in K-Means Clustering
auteur
Benjamin Barrois, Olivier Sentieys
article
SiPS 2017 - IEEE International Workshop on Signal Processing Systems, Oct 2017, Lorient, France
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01633723/file/Papier_SIPS.pdf BibTex
titre
An Efficient Framework for Design and Assessment of Arithmetic Operators with Reduced-Precision Redundancy
auteur
Imran Wali, Emmanuel Casseau, Arnaud Tisserand
article
Conference on Design and Architectures for Signal and Image Processing (DASIP), Sep 2017, Dresden, Germany
Accès au bibtex
BibTex
titre
Feedforward weighted-samples based carrier frequency offset compensation in optical coherent M-QAM systems
auteur
Trung Hien Nguyen, Pascal Scalart, M Gay, L Bramerie, Christophe Peucheret, Michel Joindot
article
43rd European Conference on Optical Communication (ECOC 2017), Sep 2017, Gothenburg, Sweden. pp.P1.SC3.40, ⟨10.1109/ECOC.2017.8345923⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01609730/file/Nguyen_ecoc_17_P1.SC3.40.pdf BibTex
titre
Implications of Reduced-Precision Computations in HPC: Performance, Energy and Error
auteur
Stefano Cherubin, Giovanni Agosta, Imane Lasri, Erven Rohou, Olivier Sentieys
article
International Conference on Parallel Computing (ParCo), Sep 2017, Bologna, Italy
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01633790/file/ParCo_final.pdf BibTex
titre
Interface Electrique/Optique pour un ONoC
auteur
Dung Pham Van, Daniel Chillet, Cedric Killian, Olivier Sentieys, Sébastien Le Beux, Ian O'Connor
article
GRETSI 2017 - XXVIème colloque, Sep 2017, Juan les Pins, France. pp.1-4
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01655417/file/gretsifr%20Final.pdf BibTex
titre
Modélisation logicielle/matérielle par chaînes de Markov absorbantes des protocoles pour les réseaux de capteurs sans-fil
auteur
Fayçal Ait Aoudia, Matthieu Gautier, Olivier Berder
article
GRETSI 2017 - 26ème colloque du Groupement de Recherche en Traitement du Signal et des Images , Sep 2017, Juan-les-pins, France
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01586500/file/gretsifr.pdf BibTex
titre
Sélection d'ancres pour localisation en intérieur par réseaux radios UWB
auteur
Antoine Courtay, Mickaël Le Gentil, Olivier Berder, Pascal Scalart, Sebastien Fontaine, Arnaud Carer
article
GRETSI 2017 - 26ème colloque du Groupement de Recherche en Traitement du Signal et des Images, Sep 2017, Juan-Les-Pins, France. pp.1-4
Accès au texte intégral et bibtex
https://hal.science/hal-01592578/file/courtay17gretsi.pdf BibTex
titre
Bridging High-Level Synthesis and Application-Specific Arithmetic: The Case Study of Floating-Point Summations
auteur
Yohann Uguen, Florent de Dinechin, Steven Derrien
article
27th International Conference on Field-Programmable Logic and Applications (FPL), IEEE, Sep 2017, Gent, Belgium. pp.8
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01373954/file/2017-FPL.pdf BibTex
titre
One size does not fit all: Implementation trade-offs for iterative stencil computations on FPGAs
auteur
Gaël Deest, Tomofumi Yuki, Sanjay Rajopadhye, Steven Derrien
article
FPL - 27th International Conference on Field Programmable Logic and Applications, Sep 2017, Gand, Belgium. ⟨10.23919/FPL.2017.8056781⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01655590/file/StencilsFPL2017.pdf BibTex
titre
Dynamic Function Specialization
auteur
Arif Ali Ap, Erven Rohou
article
International Conference on Embedded Computer Systems: Architectures, MOdeling and Simulation, Jul 2017, Pythagorion, Samos, Greece. pp.8, ⟨10.1109/SAMOS.2017.8344624⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01597880/file/SAMOS_2017.pdf BibTex
titre
The ANTAREX Tool Flow for Monitoring and Autotuning Energy Efficient HPC Systems
auteur
Cristina Silvano, Andrea Bartolini, Andrea Beccari, Candida Manelfi, Carlo Cavazzoni, Davide Gadioli, Erven Rohou, Gianluca Palermo, Giovanni Agosta, Jan Martinovič, João Bispo, João M. P. Cardoso, Jorge Barbosa, Kateřina Slaninová, Luca Benini, Martin Palkovič, Nico Sanna, Pedro Pinto, Radim Cmar, Ricardo Nobre, Stefano Cherubin
article
SAMOS 2017 - International Conference on Embedded Computer Systems: Architecture, Modeling and Simulation, Jul 2017, Pythagorion, Greece
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01615945/file/samos2017.pdf BibTex
titre
Parcours par liste de chemins : une nouvelle classe de mécanismes de suivi de flot SIMT
auteur
Caroline Collange, Nicolas Brunie
article
ComPAS 2017 - Conférence d’informatique en Parallélisme, Architecture et Système, Jun 2017, Sophia Antipolis, France
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01522901/file/CollangeBrunie_ListeChemins_Compas17.pdf BibTex
titre
The W-SEPT Project: Towards Semantic-Aware WCET Estimation
auteur
Claire Maiza, Pascal Raymond, Catherine Parent-Vigouroux, Armelle Bonenfant, Fabienne Carrier, Hugues Cassé, Philippe Cuenot, Denis Claraz, Nicolas Halbwachs, Erwan Jahier, Hanbing Li, Marianne de Michiel, Vincent Mussot, Isabelle Puaut, Christine Rochange, Erven Rohou, Jordy Ruiz, Pascal Sotin, Wei-Tsun Sun
article
17th International Workshop on Worst-Case Execution Time Analysis (WCET 2017), Jun 2017, Dubrovnik, Croatia. pp.13, ⟨10.4230/OASIcs.WCET.2017.9⟩
Accès au texte intégral et bibtex
https://hal.sorbonne-universite.fr/hal-01590442/file/WCET_2017_WSEPT.pdf BibTex
titre
The Heptane Static Worst-Case Execution Time Estimation Tool
auteur
Damien Hardy, Benjamin Rouxel, Isabelle Puaut
article
17th International Workshop on Worst-Case Execution Time Analysis (WCET 2017), Jun 2017, Dubrovnik, Croatia. pp.12, ⟨10.4230/OASIcs.WCET.2017.8⟩
Accès au texte intégral et bibtex
https://hal.sorbonne-universite.fr/hal-01590444/file/WCET_2017_Heptane.pdf BibTex
titre
STR2RTS: Refactored StreamIT benchmarks into statically analyzable parallel benchmarks for WCET estimation & real-time scheduling
auteur
Benjamin Rouxel, Isabelle Puaut
article
17th International Workshop on Worst-Case Execution Time Analysis (WCET 2017), Jun 2017, Dubrovnik, Croatia. ⟨10.4230/OASIcs.WCET.2017.1⟩
Accès au texte intégral et bibtex
https://hal.sorbonne-universite.fr/hal-01590446/file/WCET_2017_Benjamin.pdf BibTex
titre
Cache-conscious offline real-time task scheduling for multi-core processors
auteur
Viet Anh Anh Nguyen, Damien Hardy, Isabelle Puaut
article
29th Euromicro Conference on Real-Time Systems (ECRTS17), Jun 2017, Dubrovnik, Croatia. ⟨10.4230/LIPIcs.ECRTS.2017.14⟩
Accès au texte intégral et bibtex
https://hal.sorbonne-universite.fr/hal-01590421/file/Nguyen.pdf BibTex
titre
Hardware Architectures for HECC
auteur
Gabriel Gallin, Arnaud Tisserand
article
CryptArchi 2017: 15th International Workshops on Cryptographic architectures embedded in logic devices , Jun 2017, Smolenice, Slovakia
Accès au texte intégral et bibtex
https://hal.science/hal-01545625/file/cryptarchi2017.pdf BibTex
titre
Energy and Performance Trade-off in Nanophotonic Interconnects using Coding Techniques
auteur
Cedric Killian, Daniel Chillet, Sébastien Le Beux, Olivier Sentieys, Van-Dung Pham, Ian O'Connor
article
DAC 2017 - IEEE/ACM Design Automation Conference DAC, Jun 2017, Austin, United States. pp.6
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01495468/file/223-PK55__11_22_2016_04_55_49_PM.pdf BibTex
titre
ECC Protections against both Observation and Pertubation Attacks
auteur
Audrey Lucas, Arnaud Tisserand
article
CryptArchi 2017: 15th International Workshops on Cryptographic architectures embedded in logic devices , Jun 2017, Smolenice, Slovakia
Accès au bibtex
BibTex
titre
Run-Time Instruction Replication for Permanent and Soft Error Mitigation in VLIW Processors
auteur
Rafail Psiakis, Angeliki Kritikakou, Olivier Sentieys
article
NEWCAS 2017 - 15th IEEE International New Circuits and Systems Conference, Jun 2017, Strasbourg, France. pp.321-324, ⟨10.1109/NEWCAS.2017.8010170⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01633778/file/Run%20Time%20Instruction%20Replication%20for%20Permanent%20and%20Soft%20Error%20Mitigation%20in%20VLIW%20Processors.pdf BibTex
titre
Hardware Architectures Exploration for Hyper-Elliptic Curve Cryptography
auteur
Gabriel Gallin, Arnaud Tisserand
article
Crypto'Puces 2017- 6ème rencontre Crypto'Puces, du composant au système communicant embarqué, May 2017, Porquerolles, France. pp.31
Accès au texte intégral et bibtex
https://hal.science/hal-01547034/file/gallin_tisserand_abstract-cryptopuces2017.pdf BibTex
titre
Learning to Survive: Achieving Energy Neutrality in Wireless Sensor Networks Using Reinforcement Learning
auteur
Fayçal Ait Aoudia, Matthieu Gautier, Olivier Berder
article
IEEE International Conference on Communications (ICC), May 2017, Paris, France
Accès au texte intégral et bibtex
https://hal.science/hal-01530098/file/aitaoudia2017ieeeicc.pdf BibTex
titre
NEDA: NOP Exploitation with Dependency Awareness for Reliable VLIW Processors
auteur
Rafail Psiakis, Angeliki Kritikakou, Olivier Sentieys
article
ISVLSI 2017 - IEEE Computer Society Annual Symposium on VLSI, May 2017, Bochum, Germany. pp.391-396, ⟨10.1109/ISVLSI.2017.75⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01633770/file/NEDA%20-%20NOP%20Exploitation%20with%20DependencyAwareness%20for%20Reliable%20VLIW%20Processors.pdf BibTex
titre
Taking Advantage of Correlation in Stochastic Computing
auteur
Rahul Kumar Budhwani, Rengarajan Ragavan, Olivier Sentieys
article
ISCAS 2017 - IEEE International Symposium on Circuits and Systems, May 2017, Baltimore, United States
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01633725/file/ISCAS_2016_PID4707389.pdf BibTex
titre
A high-level synthesis approach optimizing accumulations in floating-point programs using custom formats and operators
auteur
Yohann Uguen, Florent de Dinechin, Steven Derrien
article
2017 IEEE 25th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM), Apr 2017, Napa, United States. pp.80-80, ⟨10.1109/FCCM.2017.41⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01498357/file/2017-HLS-Accumulations.pdf BibTex
titre
Soft timing closure for soft programmable logic cores: The ARGen approach
auteur
Théotime Bollengier, Loïc Lagadec, Mohamad Najem, Jean-Christophe Le Lann, Pierre Guilloux
article
ARC 2017 - 13th International Symposium on Applied Reconfigurable Computing, Delft University of Technology Apr 2017, Delft, Netherlands
Accès au texte intégral et bibtex
https://hal.science/hal-01475251/file/paper_6-4.pdf BibTex
titre
Hardware-Accelerated Dynamic Binary Translation
auteur
Simon Rokicki, Erven Rohou, Steven Derrien
article
IEEE/ACM Design, Automation & Test in Europe Conference & Exhibition (DATE), Mar 2017, Lausanne, Switzerland
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01423639/file/rokicki_DATE_cr.pdf BibTex
titre
Performance and Energy Aware Wavelength Allocation on Ring-Based WDM 3D Optical NoC
auteur
Jiating Luo, A Elantably, D D Pham, C Killian, Daniel Chillet, Sébastien Le Beux, Olivier Sentieys, Ian O'Connor
article
Design, Automation & Test in Europe Conference & Exhibition (DATE 2017), Mar 2017, Lausanne, Switzerland
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01416958/file/Camera_ready_fin.pdf BibTex
titre
Superword Level Parallelism aware Word Length Optimization
auteur
Ali Hassan El Moussawi, Steven Derrien
article
Design, Automation & Test in Europe Conference & Exhibition (DATE 2017), Mar 2017, Lausanne, Switzerland
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01425550/file/main.pdf BibTex
titre
WCET-aware parallelization of model-based applications for multi-cores: The ARGO approach
auteur
Steven Derrien, Isabelle Puaut, Panayiotis Alefragis, Marcus Bednara, Harald Bucher, Clément David, Yann Debray, Umut Durak, Imen Fassi, Christian Ferdinand, Damien Hardy, Angeliki Kritikakou, Gerard Rauwerda, Simon Reder, Martin Sicks, Timo Stripf, Kim Sunesen, Timon ter Braak, Nikolaos Voros, Jürgen Becker
article
Design Automation and Test in Europe (DATE), 2017, Mar 2017, Lausanne, Switzerland. pp.286 - 289, ⟨10.23919/DATE.2017.7927000⟩
Accès au texte intégral et bibtex
https://hal.sorbonne-universite.fr/hal-01590418/file/DATE_final.pdf BibTex
titre
WULoRa: An Energy Efficient IoT End-Node for Energy Harvesting and Heterogeneous Communication
auteur
Michele Magno, Fayçal Ait Aoudia, Matthieu Gautier, Olivier Berder, Luca Benini
article
IEEE/ACM Design, Automation & Test in Europe Conference & Exhibition (DATE), Mar 2017, Lausanne, Switzerland
Accès au texte intégral et bibtex
https://hal.science/hal-01501163/file/magno2017date.pdf BibTex
titre
The Hidden Cost of Functional Approximation Against Careful Data Sizing – A Case Study
auteur
Benjamin Barrois, Olivier Sentieys, Daniel Ménard
article
Design, Automation & Test in Europe Conference & Exhibition (DATE 2017), Mar 2017, Lausanne, Switzerland. ⟨10.23919/date.2017.7926979⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01423147/file/paper.pdf BibTex
titre
Pushing the Limits of Voltage Over-Scaling for Error-Resilient Applications
auteur
Rengarajan Ragavan, Benjamin Barrois, Cedric Killian, Olivier Sentieys
article
Design, Automation & Test in Europe Conference & Exhibition (DATE 2017), Mar 2017, Lausanne, Switzerland
Accès au texte intégral et bibtex
https://hal.science/hal-01417665/file/DATE_2017.pdf BibTex
titre
Compile-Time Function Memoization
auteur
Arjun Suresh, Erven Rohou, André Seznec
article
26th International Conference on Compiler Construction, Feb 2017, Austin, United States
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01423811/file/memo.pdf BibTex
titre
Function Call Re-Vectorization
auteur
Rubens E A Moreira, Caroline Collange, Fernando Magno Quintão Pereira
article
ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming (PPoPP), Feb 2017, Austin, Texas, United States. ⟨10.1145/3018743.3018751⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01410186/file/Moreira_CallRevectorization_PPoPP17%20%281%29.pdf BibTex

Poster communications

titre
Demo Abstract: Online QoS Adaptation using Fuzzy Control for Indoor Light Energy Harvesting in Wireless Sensor Networks
auteur
Mickael Le Gentil, Fayçal Ait Aoudia, Matthieu Gautier, Olivier Berder
article
IEEE International Workshop on Signal Processing Systems (SiPS), Oct 2017, Lorient, France
Accès au texte intégral et bibtex
https://hal.science/hal-01613497/file/Sips_DemoFuzzyman_IRISA.pdf BibTex
titre
Poster: Fault-Tolerant Multi-Processor Scheduling with Backup Copy Technique
auteur
Petr Dobiáš, Emmanuel Casseau, Oliver Sinnen
article
Conference on Design and Architectures for Signal and Image Processing (DASIP), Sep 2017, Dresden, Germany
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01610745/file/Poster_DASIP_2017_09_14.pdf BibTex
titre
Etude du compromis latence-consommation d'énergie des radios longue portée
auteur
Fayçal Ait Aoudia, Matthieu Gautier, Antoine Courtay, Olivier Berder
article
Colloque du GDR SoC-SiP, Juin 2017, Bordeaux, France, Jun 2017, Bordeaux, France
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01550317/file/Lora_GDR_Soc2.pdf BibTex
titre
Intégration d'un NoC optique au sein d'une architecture multi-coeurs
auteur
Daniel Chillet, Dung Pham Van, Cedric Killian, Olivier Sentieys, Sébastien Le Beux, Ian O'Connor
article
2017 - XIIème Colloque National du GDR SoC-SiP, Jun 2017, Bordeaux, France. pp.1-2
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01655420/file/GDR_SoC_SiP_2017.pdf BibTex
titre
Finite Field Multiplier Architectures for Hyper-Elliptic Curve Cryptography
auteur
Gabriel Gallin, Arnaud Tisserand
article
Colloque National du GDR SOC2, Jun 2017, Bordeaux, France.
Accès au bibtex
BibTex
titre
Poster abstract: Fast and Energy-driven Design Space Exploration for Heterogeneous Architectures
auteur
Baptiste Roux, Matthieu Gautier, Olivier Sentieys, Jean-Philippe Delahaye
article
FCCM 2018 - 26th IEEE International Symposium on Field-Programmable Custom Computing Machines, Apr 2017, Napa, United States
Accès au texte intégral et bibtex
https://hal.science/hal-01809560/file/roux17fccm.pdf BibTex

Reports

titre
Deliverable D2.2 – Description of a specific optimization for low power
auteur
Rabab Bouziane, Erven Rohou, Abdoulaye Gamatié
article
[Research Report] Inria Rennes - Bretagne Atlantique; LIRMM (UM, CNRS). 2017
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-03168308/file/D2.2.pdf BibTex
titre
Deliverable D3.2 - Evaluation of selected memory and communication technologies and exploitation opportunities in compilation and runtime management
auteur
Florent Bruguier, Thibaud Delobelle, Charles Emmanuel Effiong, Abdoulaye Gamatié, Pierre-Yves Péneau, Gilles Sassatelli, Sophiane Senni, Lionel Torres, Erven Rohou
article
[Research Report] LIRMM (UM, CNRS); Inria Rennes – Bretagne Atlantique. 2017
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-03168318/file/D3.2.pdf BibTex
titre
Path list traversal: a new class of SIMT flow tracking mechanisms
auteur
Caroline Collange, Nicolas Brunie
article
[Research Report] RR-9073, Inria Rennes - Bretagne Atlantique. 2017
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01533085/file/RR-9073.pdf BibTex
titre
Multicore Runtime for Dynamic Dataflow Video Decoders
auteur
Hervé Yviquel, Alexandre Sanchez, Raulet Mickaël, Emmanuel Casseau
article
[Technical Report] IETR/INSA Rennes; IRISA, Inria Rennes. 2017
Accès au bibtex
BibTex

Theses

titre
Runtime optimization of binary through vectorization transformations
auteur
Nabil Hallou
article
Computer Arithmetic. Université de Rennes, 2017. English. ⟨NNT : 2017REN1S120⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-01795489/file/HALLOU_Nabil.pdf BibTex
titre
Methods to evaluate accuracy-energy trade-off in operator-level approximate computing
auteur
Benjamin Barrois
article
Computer Arithmetic. Université de Rennes, 2017. English. ⟨NNT : 2017REN1S097⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-01665015/file/BARROIS_Benjamin.pdf BibTex
titre
Methodology and Tools for Energy-aware Task Mapping on Heterogeneous Multiprocessor Architectures
auteur
Baptiste Roux
article
Embedded Systems. Université de Rennes 1, 2017. English. ⟨NNT : ⟩
Accès au texte intégral et bibtex
https://inria.hal.science/tel-01672814/file/broux_PhD.pdf BibTex
titre
Energy Harvesting Wireless Sensor Networks Leveraging Wake-up Receivers: Energy Managers and MAC Protocols
auteur
Fayçal Ait Aoudia
article
Networking and Internet Architecture [cs.NI]. Université de Rennes 1, 2017. English. ⟨NNT : ⟩
Accès au texte intégral et bibtex
https://hal.science/tel-01684803/file/aitaoudia_these.pdf BibTex
titre
Réseaux de capteurs sans fils auto-alimentés utilisant des wake-up radio : gestionnaire d'énergie et protocoles MAC
auteur
Fayçal Aït-Aoudia
article
Networking and Internet Architecture [cs.NI]. Université de Rennes, 2017. English. ⟨NNT : 2017REN1S115⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-01778971/file/AIT_AOUDIA_Faycal.pdf BibTex
titre
Error handling and energy estimation for error resilient near-threshold computing
auteur
Rengarajan Ragavan
article
Hardware Architecture [cs.AR]. Université de Rennes; University de Rennes 1, 2017. English. ⟨NNT : 2017REN1S038⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-01654476/file/RAGAVAN_Rengarajan.pdf BibTex
titre
Error Handling and Energy Estimation Framework For Error Resilient Near-Threshold Computing
auteur
Rengarajan Ragavan
article
Embedded Systems. Rennes 1, 2017. English. ⟨NNT : ⟩
Accès au texte intégral et bibtex
https://inria.hal.science/tel-01636803/file/Thesis_Rengarajan_version_6_final.pdf BibTex
titre
Revisiting Wide Superscalar Microarchitecture
auteur
Andrea Mondelli
article
Hardware Architecture [cs.AR]. Université de Rennes, 2017. English. ⟨NNT : 2017REN1S054⟩
Accès au texte intégral et bibtex
https://inria.hal.science/tel-01597752/file/MONDELLI_Andrea.pdf BibTex
titre
Improving performance of non-intrusive load monitoring with low-cost sensor networks
auteur
Xuan-Chien Le
article
Signal and Image processing. Université de Rennes, 2017. English. ⟨NNT : 2017REN1S019⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-01622355/file/LE_Xuan_Chien.pdf BibTex

Preprints, Working Papers, ...

titre
High-Level Synthesis Using Application-Specific Arithmetic: A Case Study
auteur
Yohann Uguen, Florent de Dinechin, Steven Derrien
article
2017
Accès au texte intégral et bibtex
https://hal.science/hal-01502644/file/HLS-Using-App-Specific-Arith_A-Case-Study.pdf BibTex

2016

Journal articles

titre
Some mathematical facts about optimal cache replacement
auteur
Pierre Michaud
article
ACM Transactions on Architecture and Code Optimization, 2016, 13 (4), ⟨10.1145/3017992⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01411156/file/halopt.pdf BibTex
titre
Storage-Free Memory Dependency Prediction
auteur
Arthur Perais, André Seznec
article
IEEE Computer Architecture Letters, 2016, pp.1 - 4. ⟨10.1109/LCA.2016.2628379⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01396985/file/cal_preprint.pdf BibTex
titre
Binary-Ternary Plus-Minus Modular Inversion in RNS
auteur
Karim Bigou, Arnaud Tisserand
article
IEEE Transactions on Computers, 2016, 65 (11), pp.3495-3501. ⟨10.1109/TC.2016.2529625⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01314268/file/article_tc.pdf BibTex
titre
Power Modeling and Exploration of Dynamic and Partially Reconfigurable Systems
auteur
Robin Bonamy, Sébastien Bilavarn, Daniel Chillet, Olivier Sentieys
article
Journal of Low Power Electronics, 2016, 12 (3), pp.172-185. ⟨10.1166/jolpe.2016.1448⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01345664/file/article.pdf BibTex
titre
Yet Another Compressed Cache: a Low Cost Yet Effective Compressed Cache
auteur
Somayeh Sardashti, André Seznec, David A. Wood
article
ACM Transactions on Architecture and Code Optimization, 2016, 13, pp.1-25. ⟨10.1145/2976740⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01354248/file/yacc-taco-july%2022-final.pdf BibTex
titre
A comparison of heuristic algorithms for custom instruction selection
auteur
Shanshan Wang, Chenglong Xiao, Wanjun Liu, Emmanuel Casseau
article
Microprocessors and Microsystems: Embedded Hardware Design , 2016, 45 (A), pp.176-186. ⟨10.1016/j.micpro.2016.05.001⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01354991/file/JSTS_2nde_soumission_v2.pdf BibTex
titre
A survey of the summer coccolithophore community in the western Barents Sea
auteur
Jacques Giraudeau, Vivien Hulot, Vincent Hanquiez, Ludovic Devaux, Hélène Howa, Thierry Garlan
article
Journal of Marine Systems, 2016, 158, pp.93-105. ⟨10.1016/j.jmarsys.2016.02.012⟩
Accès au bibtex
BibTex
titre
A Heuristic Self-Adaptive Medium Access Control for Resource-Constrained WBAN Systems
auteur
Muhammad Mahtab Alam, Elyes Ben Hamida, Olivier Berder, Olivier Sentieys, Daniel Menard
article
IEEE Access, 2016, 4, pp.1287-1300
Accès au bibtex
BibTex
titre
EOLE: Combining Static and Dynamic Scheduling through Value Prediction to Reduce Complexity and Increase Performance
auteur
Arthur Perais, André Seznec
article
ACM Transactions on Computer Systems, 2016, 34, pp.1-33. ⟨10.1145/2870632⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01259139/file/tocs_final.pdf BibTex
titre
OPWUM: Opportunistic MAC Protocol Leveraging Wake-Up Receivers in WSNs
auteur
Fayçal Ait Aoudia, Matthieu Gautier, Olivier Berder
article
Journal of Sensors, 2016, ⟨10.1155/2016/6263719⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01244800/file/6263719.pdf BibTex
titre
Low-complexity Image and Video Coding Based on an Approximate Discrete Tchebichef Transform
auteur
Paulo A. M. Oliveira, Renato J. Cintra, Fabio M. Bayer, Sunera Kulasekera, Arjuna Madanayake
article
IEEE Transactions on Circuits and Systems for Video Technology, 2016, ⟨10.1109/TCSVT.2016.2515378⟩
Accès au bibtex
BibTex
titre
Array Size Computation under Uniform Overlapping and Irregular Accesses
auteur
Angeliki Kritikakou, Francky Catthoor, Vasilios Kelefouras, Costas Goutis
article
ACM Transactions on Design Automation of Electronic Systems, 2016, 21, pp.1-35. ⟨10.1145/2818643⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01239705/file/TODAES_2015_FINAL_v2_7_2015.pdf BibTex
titre
Fast Integer Word-length Optimization for Fixed-point Systems
auteur
Nehmeh Riham, Daniel Menard, Erwan Nogues, Andrei Banciu, Thierry Michel, Romuald Rocher
article
Journal of Signal Processing Systems, 2016, 85 (1), pp.113-128. ⟨10.1007/s11265-015-0990-8⟩
Accès au bibtex
BibTex
titre
UTBB FDSOI suitability for IoT applications: Investigations at device, design and architectural levels
auteur
Florent Berthier, Edith Beigné, Frédéric Heitzmann, Olivier Debicki, Jean-Frédéric Christmann, Alexandre Valentian, Olivier Billoint, Esteve Amat, Dominique Morche, Soundous Chairat, Olivier Sentieys
article
Solid-State Electronics, 2016, 125, pp.14 - 24. ⟨10.1016/j.sse.2016.09.003⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01423144/file/UTBB%20FDSOI%20Suitability%20for%20IoT%20Applications%20%3A%20Investigations%20at%20Device%2C%20Design%20and%20Architectural%20Levels.pdf BibTex
titre
A high performance Matrix-Matrix Multiplication Methodology for CPU and GPU architectures
auteur
Vasilios Kelefouras, Angeliki Kritikakou, Iosif Mporas, Vasilios Kolonias
article
Journal of Supercomputing, 2016, pp.1-41. ⟨10.1007/s11227-015-1613-7⟩
Accès au bibtex
BibTex
titre
SPAC: A Synergistic Prefetcher Aggressiveness Controller for Multi-core Systems
auteur
Biswabandan Panda
article
IEEE Transactions on Computers, 2016, ⟨10.1109/TC.2016.2547392⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01307538/file/SPAC.pdf BibTex
titre
Practical Multidimensional Branch Prediction
auteur
André Seznec, Joshua San Miguel, Jorge Albericio
article
IEEE Micro, 2016, ⟨10.1109/MM.2016.33⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01330510/file/MI_MicroSI-2016-01-0007_Albericio.pdf BibTex

Conference papers

titre
Analytical and Experimental Evaluation of Wake-up Receivers based protocols
auteur
Fayçal Ait Aoudia, Michele Magno, Matthieu Gautier, Olivier Berder, Luca Benini
article
IEEE Global Communications Conference (Globecom 2016), Dec 2016, Washington, United States
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01412792/file/aitaoudia2016ieeeglobecom.pdf BibTex
titre
Blind I/Q Imbalance Compensation for M-QAM Optical Coherent Systems based on Pseudo-rotation
auteur
Ti Nguyen-Ti, Matthieu Gautier, Pascal Scalart, Olivier Berder, Trung Hien Nguyen, Fayçal Ait Aoudia
article
59th IEEE Global Communications Conference (IEEE GLOBECOM 2016), Dec 2016, Washington, United States. ⟨10.1109/GLOCOM.2016.7841633⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01412788/file/Globecom_paperIQ.pdf BibTex
titre
SNW-MAC: an Asynchronous Protocol Leveraging Wake-up Receivers for Data Gathering in Star Networks
auteur
Fayçal Ait Aoudia, Matthieu Gautier, Michele Magno, Olivier Berder, Luca Benini
article
EAI International Conference on Sensor Systems and Software (S-cube 2016), Dec 2016, Nice, France
Accès au bibtex
BibTex
titre
Architecture exploration of multi-source energy harvester for IoT nodes
auteur
Philip-Dylan Gleonec, Jeremy Ardouin, Matthieu Gautier, Olivier Berder
article
IEEE Online Conference on Green Communications (Online GreenComm 2016), Nov 2016, n/a, United States. pp.27 - 32, ⟨10.1109/OnlineGreenCom.2016.7805402⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01400418/file/gleonec2016ieeeogc.pdf BibTex
titre
Real-Time Scheduling of Reconfigurable Battery-Powered Multi-Core Platforms
auteur
Aymen Gammoudi, Adel Benzina, Mohamed Khalgui, Daniel Chillet
article
28th International Conference on Tools with Artificial Intelligence, Nov 2016, San Jose, United States
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01401712/file/PID4453709.pdf BibTex
titre
Reconf-Pack: A Simulator for Reconfigurable Battery-Powered Real-Time Systems
auteur
Aymen Gammoudi, Adel Benzina, Mohamed Khalgui, Daniel Chillet, Aicha Goubaa
article
30th European Simulation and Modelling Conference, Oct 2016, Las Palmas, Spain
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01401706/file/ESM2016_camera_ready_final.pdf BibTex
titre
Dynamic Inter-Thread Vectorization Architecture: extracting DLP from TLP
auteur
Sajith Kalathingal, Caroline Collange, Bharath Narasimha Swamy, André Seznec, Bharath N Swamy
article
International Symposium on Computer Architecture and High-Performance Computing (SBAC-PAD), Oct 2016, Los Angeles, United States
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01356202/file/Kalathingal_DITVA_SBAC16.pdf BibTex
titre
Register Sharing for Equality Prediction
auteur
Arthur Perais, Fernando A. Endo, André Seznec
article
International Symposium on Microarchitecture, Oct 2016, Taipei, Taiwan
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01354267/file/bare_conf.pdf BibTex
titre
Dictionary Sharing: An Efficient Cache Compression Scheme for Compressed Caches
auteur
Biswabandan Panda, André Seznec
article
MICRO 2016 - 49th Annual IEEE/ACM International Symposium on Microarchitecture, IEEE/ACM, Oct 2016, Taipei, Taiwan
Accès au texte intégral et bibtex
https://hal.science/hal-01354246/file/MICRO16.pdf BibTex
titre
Improved beamforming for FSO MISO system over gamma-gamma fading with pointing errors
auteur
Ankit Garg, Manav R. Bhatnagar, Olivier Berder, Baptiste Vrigneau
article
IEEE Advanced Technologies for Communications, Oct 2016, Hanoi, Vietnam. pp.362-368, ⟨10.1109/ATC.2016.7764806⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01480294/file/ATC16.pdf BibTex
titre
New Reconfigurable Middleware for Adaptive RTOS in Ubiquitous Devices
auteur
Aymen Gammoudi, Adel Benzina, Mohamed Khalgui, Daniel Chillet
article
10th International Conference on Mobile Ubiquitous Computing, Systems, Services and Technologies, Oct 2016, Venise, Italy
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01401716/file/Camera%20ready%20Ubicomm2016.pdf BibTex
titre
A Case Study on the Approximate Test of Integrated Circuits
auteur
Imran Wali, Arnaud Virazel, Patrick Girard, Mario Barbareschi, Alberto Bosio
article
AC: Approximate Computing, Oct 2016, Pittsburgh, PA, United States
Accès au bibtex
BibTex
titre
Communication-Based Power Modelling for Heterogeneous Multiprocessor Architecture
auteur
Baptiste Roux, Matthieu Gautier, Olivier Sentieys, Steven Derrien
article
IEEE 10th International Symposium on Embedded Multicore /Many-core Systems-on-Chip (MCSoC 2016), Sep 2016, Lyon, France
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01412835/file/roux2016ieeemcsoc.pdf BibTex
titre
Loop Optimization in Presence of STT-MRAM Caches: a Study of Performance-Energy Tradeoffs
auteur
Pierre-Yves Péneau, Rabab Bouziane, Abdoulaye Gamatié, Erven Rohou, Florent Bruguier, Gilles Sassatelli, Lionel Torres, Sophiane Senni
article
PATMOS: Power and Timing Modeling, Optimization and Simulation, Sep 2016, Bremen, Germany. pp.162-169, ⟨10.1109/PATMOS.2016.7833682⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01347354/file/NVRAM.pdf BibTex
titre
Multiplexing Adaptive with Classic AUTOSAR? Adaptive Software Control to Increase Resource Utilization in Mixed-Critical Systems
auteur
Angeliki Kritikakou, Thibaut Marty, Claire Pagetti, Christine Rochange, Michaël Lauer, Matthieu Roy
article
Workshop CARS 2016 - Critical Automotive applications : Robustness & Safety, Sep 2016, Göteborg, Sweden
Accès au texte intégral et bibtex
https://hal.science/hal-01375576/file/CARS2016_paper_11.pdf BibTex
titre
A Low Latency and Energy Efficient Communication Architecture for Heterogeneous Long-Short Range Communication
auteur
Fayçal Ait Aoudia, Michele Magno, Matthieu Gautier, Olivier Berder, Luca Benini
article
Digital System Design (DSD), 2016 Euromicro Conference on, Aug 2016, Limassol, Cyprus
Accès au bibtex
BibTex
titre
Effects of I/O Routing through Column Interfaces in Embedded FPGA Fabrics
auteur
Christophe Huriaux, Olivier Sentieys, Russell Tessier
article
FPL - 26th International Conference on Field Programmable Logic and Applications, Aug 2016, Lausanne, Switzerland
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01341156/file/huriaux-fpl16.pdf BibTex
titre
Adaptive Overclocking and Error Correction Based on Dynamic Speculation Window
auteur
Rengarajan Ragavan, Cedric Killian, Olivier Sentieys
article
ISVLSI, Jul 2016, Pittsburgh, United States. pp.325 - 330, ⟨10.1109/ISVLSI.2016.13⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01416945/file/PID4222055.pdf BibTex
titre
Hybrid Position-Residues Number System
auteur
Karim Bigou, Arnaud Tisserand
article
ARITH: 23rd Symposium on Computer Arithmetic, Jul 2016, Santa Clara, CA, United States
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01314232/file/article_arith23.pdf BibTex
titre
Parallel floating-point expansions for extended-precision GPU computations
auteur
Caroline Collange, Mioara Joldes, Jean-Michel Muller, Valentina Popescu
article
The 27th Annual IEEE International Conference on Application-specific Systems, Architectures and Processors (ASAP), Jul 2016, London, United Kingdom
Accès au texte intégral et bibtex
https://hal.science/hal-01298206/file/Popescu_ParallelFPExpansionsGPU_ASAP16.pdf BibTex
titre
Un processeur SIMT généraliste synthétisable
auteur
Caroline Collange
article
Compas 2016 - Conférence d’informatique en Parallélisme, Architecture et Système, Jul 2016, Lorient, France
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01345070/file/Collange_Simty_Compas16.pdf BibTex
titre
Wavelength spacing optimization to reduce crosstalk in WDM 3D ONoC
auteur
Jiating Luo, Daniel Chillet, Cédric Killian, Sébastien Le Beux, Ian O 'Connor, Olivier Sentieys
article
Conférence d’informatique en Parallélisme, Architecture et Système, Jul 2016, Lorient, France
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01406341/file/compas2016_luo.pdf BibTex
titre
Hybrid-JIT : Compilateur JIT Matériel/Logiciel pour les Processeurs VLIW Embarqués
auteur
Simon Rokicki, Erven Rohou, Steven Derrien
article
Conférence d’informatique en Parallélisme, Architecture et Système (Compas), Jul 2016, Lorient, France
Accès au texte intégral et bibtex
https://hal.science/hal-01345306/file/Compass_16_final.pdf BibTex
titre
Cache-Persistence-Aware Response-Time Analysis for Fixed-Priority Preemptive Systems
auteur
Syed Aftab Rashid, Geoffrey Nelissen, Damien Hardy, Benny Akesson, Isabelle Puaut, Eduardo Tovar
article
28th Euromicro Conference on Real-Time Systems (ECRTS), Jul 2016, Toulouse, France. ⟨10.1109/ECRTS.2016.25⟩
Accès au bibtex
BibTex
titre
Gestion de la consommation d'un réseau optique intégré dans un MPSoC
auteur
Van-Dung Pham, Cédric Killian, Daniel Chillet, Sébastien Le Beux, Olivier Sentieys, I O 'Connor
article
Conférence d’informatique en Parallélisme, Architecture et Système, Jul 2016, Lorient, France
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01406347/file/compas2016_Van_Dung_Pham.pdf BibTex
titre
Order Statistics Based Diagonal Precoding for 4x1 MISO System with Real Orthogonal Space Time Block Codes
auteur
Ankit Garg, Manav R. Bhatnagar, Olivier Berder, Baptiste Vrigneau
article
SPCOM 2016 - 12th International Conference on Signal Processing and Communications, Jun 2016, IISC, India. ⟨10.1109/SPCOM.2016.7746642⟩
Accès au bibtex
BibTex
titre
Gestion de la consommation d'un ONoC intégré dans un MPSoC
auteur
Van-Dung Pham, Daniel Chillet, Cédric Killian, Sébastien Le Beux, Ian O 'Connor, Olivier Sentieys
article
Colloque National du GDR SoC-SiP, Jun 2016, Nantes, France
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01414341/file/SoC-SiP_2016_paper_57.pdf BibTex
titre
Crosstalk noise aware wavelength allocation in WDM 3D ONoC
auteur
Jiating Luo, Daniel Chillet, Cédric Killian, Sébastien Le Beux, Ian O 'Connor, Olivier Sentieys
article
Colloque National du GDR SoC-SiP, Jun 2016, Nantes, France
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01406355/file/GDR_SoC_SiP_v1.pdf BibTex
titre
TAGE-SC-L Branch Predictors Again
auteur
André Seznec
article
5th JILP Workshop on Computer Architecture Competitions (JWAC-5): Championship Branch Prediction (CBP-5), Jun 2016, Seoul, South Korea
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01354253/file/CBP2016-TAGE-SC-L%20.pdf BibTex
titre
Exploring branch predictability limits with the MTAGE+SC predictor *
auteur
André Seznec
article
5th JILP Workshop on Computer Architecture Competitions (JWAC-5): Championship Branch Prediction (CBP-5), Jun 2016, Seoul, South Korea. pp.4
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01354251/file/MutiTage-SC.pdf BibTex
titre
FTA-MAC: Fast Traffic Adaptive energy efficient MAC protocol for Wireless Sensor Networks
auteur
Van-Thiep Nguyen, Matthieu Gautier, Olivier Berder
article
EAI International Conference on Cognitive Radio Oriented Wireless Networks (Crowncom16), May 2016, Grenoble, France
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01302653/file/vanthiepnguyen-crowncom.pdf BibTex
titre
On the FPGA-based implementation of a flexible waveform from a high-level description: Application to LTE FFT case study
auteur
Mai-Thanh Tran, Matthieu Gautier, Emmanuel Casseau
article
EAI International Conference on Cognitive Radio Oriented Wireless Networks (Crowncom16), May 2016, Grenoble, France
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01302652/file/Crowncom.pdf BibTex
titre
Discrete Cache Insertion Policies for Shared Last Level Cache Management on Large Multicores
auteur
Aswinkumar Sridharan, André Seznec
article
30th IEEE International Parallel & Distributed Processing Symposium, May 2016, Chicago, United States
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01259626/file/Jan20_2016_ADAPT.pdf BibTex
titre
Blind Adaptive Transmitter IQ Imbalance Compensation in M-QAM Optical Coherent Systems
auteur
Trung Hien Nguyen, Pascal Scalart, Mathilde Gay, Laurent Bramerie, Christophe Peucheret, Ti Nguyen-Ti, Matthieu Gautier, Olivier Sentieys, Jean-Claude Simon, Michel Joindot
article
2016 IEEE International Conference on Communication (ICC 2016), May 2016, Kuala Lumpur, Malaysia. ⟨10.1109/ICC.2016.7510925⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01337225/file/1570226129_2ndRoundSubmittedVersion.pdf BibTex
titre
Fuzzy Power Management for Energy Harvesting Wireless Sensor Nodes
auteur
Fayçal Ait Aoudia, Matthieu Gautier, Olivier Berder
article
IEEE International Conference on Communications (ICC16), May 2016, Kuala Lumpur, Malaysia
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01302649/file/AitAoudia2016ieeeicc.pdf BibTex
titre
Mutual information approximation of CSI-T MIMO precoders based on minimal distance probability
auteur
Baptiste Vrigneau, Olivier Berder
article
International Conference on Communications (ICC), May 2016, Kuala Lumpur, Malaysia
Accès au bibtex
BibTex
titre
The ANTAREX Approach to Autotuning and Adaptivity for Energy Efficient HPC Systems
auteur
Cristina Silvano, Giovanni Agosta, Stefano Cherubin, Davide Gadioli, Gianluca Palermo, Andrea Bartolini, Luca Benini, Jan Martinovič, Martin Palkovič, Kateřina Slaninová, João Bispo, João M. P. Cardoso, Rui Abreu, Pedro Pinto, Carlo Cavazzoni, Nico Sanna, Andrea R. Beccari, Radim Cmar, Erven Rohou
article
ACM International Conference on Computing Frontiers 2016, May 2016, Como, Italy. ⟨10.1145/2903150.2903470⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01341826/file/cf2016.pdf BibTex
titre
Reproducible and Accurate Algorithms for Numerical Linear Algebra
auteur
Roman Iakymchuk, David Defour, Caroline Collange, Stef Graillat
article
PP: Parallel Processing for Scientific Computing, Apr 2016, Paris, France
Accès au bibtex
BibTex
titre
Bi-harmonic decomposition-based maximum loglikelihood estimator for carrier phase estimation of coherent optical M-QAM
auteur
Trung Hien Nguyen, Pascal Scalart, Mathilde Gay, Laurent Bramerie, Christophe Peucheret, Olivier Sentieys, Jean-Claude Simon, Michel Joindot
article
Optical Fiber Communication Conference (OFC 2016), Optical Society of America, Mar 2016, Anaheim, CA, United States. pp.Tu3K.3, ⟨10.1364/OFC.2016.Tu3K.3⟩
Accès au bibtex
BibTex
titre
Design Space Exploration of Optical Interfaces for Silicon Photonic Interconnects
auteur
Olivier Sentieys, Johanna Sepúlveda, Sébastien Le Beux, Jiating Luo, Cedric Killian, Daniel Chillet, Ian O 'Connor, Hui Li
article
2th International Workshop on Optical/Photonic Interconnects for Computing Systems (OPTICS Workshop), co-located with IEEE/ACM Design Automation and Test in Europe (DATE’16), Mar 2016, Dresden, Germany
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01293506/file/2016-Optics%20-%20V9.pptx.pdf BibTex
titre
Comment bénéficier de la wake-up radio pour les réseaux de capteurs à récupération d'énergie?
auteur
Fayçal Ait Aoudia, Matthieu Gautier, Olivier Berder
article
Journées Scientifiques URSI France - Energie et radiosciences, Mar 2016, Rennes, France
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01302647/file/JS16_WuR_final_MG.pdf BibTex
titre
Probabilistic WCET estimation in presence of hardware for mitigating the impact of permanent faults
auteur
Damien Hardy, Isabelle Puaut, Yiannakis Sazeides
article
Design, Automation and Test in Europe, Mar 2016, Dresden, Germany
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01259493/file/final.pdf BibTex
titre
System level synthesis for virtual memory enabled hardware threads
auteur
Nicolas Estibals, Gaël Deest, Ali El-Moussawi, Steven Derrien
article
Design, Automation & Test in Europe Conference & Exhibition, Mar 2016, Dresden, France
Accès au bibtex
BibTex
titre
Best-Offset Hardware Prefetching
auteur
Pierre Michaud
article
International Symposium on High-Performance Computer Architecture, Mar 2016, Barcelona, Spain. ⟨10.1109/HPCA.2016.7446087⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01254863/file/bop%20%281%29.pdf BibTex
titre
AutoTuning and Adaptivity appRoach for Energy efficient eXascale HPC systems: the ANTAREX Approach
auteur
Cristina Silvano, Giovanni Agosta, Andrea Bartolini, Andrea R. Beccari, Luca Benini, João Bispo, Radim Cmar, João M. P. Cardoso, Carlo Cavazzoni, Jan Martinovič, Gianluca Palermo, Martin Palkovič, Pedro Pinto, Erven Rohou, Nico Sanna, Kateřina Slaninová
article
Design, Automation, and Test in Europe, Mar 2016, Dresden, Germany
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01235741/file/DATE-2016.pdf BibTex
titre
Cost Effective Physical Register Sharing
auteur
Arthur Perais, André Seznec
article
International Symposium on High Performance Computer Architecture, IEEE, Mar 2016, Barcelona, Spain. ⟨10.1109/HPCA.2016.7446105⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01259137/file/lttp.pdf BibTex
titre
Leveraging Power Spectral Density for Scalable System-Level Accuracy Evaluation
auteur
Benjamin Barrois, Karthick Parashar, Olivier Sentieys
article
IEEE/ACM Conference on Design Automation and Test in Europe (DATE), Mar 2016, Dresden, Germany. pp.6
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01253494/file/204_OutputPaper.pdf BibTex
titre
When the worst-case execution time estimation gains from the application semantics
auteur
Armelle Bonenfant, Fabienne Carrier, Hugues Cassé, Philippe Cuenot, Denis Claraz, Nicolas Halbwachs, Hanbing Li, Claire Maiza, Marianne de Michiel, Vincent Mussot, Catherine Parent-Vigouroux, Isabelle Puaut, Pascal Raymond, Erven Rohou, Pascal Sotin
article
8th European Congress on Embedded Real-Time Software and Systems, Jan 2016, Toulouse, France
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01235781/file/ERTS-2016.pdf BibTex
titre
Towards Scalable and Efficient FPGA Stencil Accelerators
auteur
Gaël Deest, Nicolas Estibals, Tomofumi Yuki, Steven Derrien, Sanjay Rajopadhye
article
IMPACT'16 - 6th International Workshop on Polyhedral Compilation Techniques, held with HIPEAC'16, Jan 2016, Prague, Czech Republic
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01425018/file/impact2016-deest.pdf BibTex

Other publications

titre
Demo Abstract : Zyggie: Wireless Sensor Node Prototype for Tracking and Gesture Recognition
auteur
Antoine Courtay, Mickaël Le Gentil, Olivier Berder, Arnaud Carer
article
2016
Accès au bibtex
BibTex
titre
Fixed-­point refinement, a guaranteed approach towards energy efficient computing
auteur
Olivier Sentieys, Daniel Menard, Karthick Parashar, David Novo
article
2016
Accès au bibtex
BibTex

Patents

titre
Cœur de processeur asynchrone et microcontrôleur de nœud de capteur communicant comportant un tel cœur de processeur
auteur
Florent Berthier, Edith Beigné, Frédéric Heitzmann, Olivier Debicki, Olivier Sentieys
article
France, N° de brevet: 2016. 2016
Accès au bibtex
BibTex

Poster communications

titre
Hardware and Arithmetic for Hyperelliptic Curves Cryptography
auteur
Arnaud Tisserand, Gabriel Gallin
article
CominLabs Days 2016, Nov 2016, Rennes, France. , 2016
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01404755/file/poster.pdf BibTex
titre
Accurate Modeling of Fault Impact in Arithmetic Circuits
auteur
Pierre Guilloux, Arnaud Tisserand
article
DASIP: Conference on Design and Architectures for Signal and Image Processing (Demo Night), Oct 2016, Rennes, France. , 2016
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01404772/file/poster-dasip-demo-night.pdf BibTex
titre
Poster: Multi-source Energy Harvesting for IoT nodes
auteur
Philip-Dylan Gleonec, Jeremy Ardouin, Matthieu Gautier, Olivier Berder
article
Conference on Design and Architectures for Signal and Image Processing (DASIP), Oct 2016, Rennes, France
Accès au bibtex
BibTex
titre
Demo Abstract: How Fuzzy Logic can enhance Energy Management in autonomous Wireless Sensor Nodes ?
auteur
Fayçal Ait Aoudia, Matthieu Gautier, Mickael Le Gentil, Olivier Berder
article
Conference on Design and Architectures for Signal and Image Processing (DASIP), Demo Night, Oct 2016, Rennes, France
Accès au bibtex
BibTex
titre
POSTER: Wavelength Allocation for Efficient Communications on Optical Network-on-Chip
auteur
Jiating Luo, Van-Dung Pham, Cedric Killian, Daniel Chillet, Sébastien Le Beux, Ian O 'Connor, Olivier Sentieys
article
Conference on Design and Architectures for Signal and Image Processing, Oct 2016, Rennes, France. pp.1656 - 1658, 2016, ⟨10.1145/2810103.2810122⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01406328/file/Dasip_Conf-2Pages.pdf BibTex
titre
Demo abstract : FPGA-based implementation of a flexible FFT dedicated to LTE standard
auteur
Mai-Thanh Tran, Emmanuel Casseau, Matthieu Gautier
article
Conference on Design and Architectures for Signal and Image Processing (DASIP), Demo Night, Oct 2016, Rennes, France. , Conference on Design and Architectures for Signal and Image Processing (DASIP), Demo Night, pp.2, 2016
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01354992/file/demo_night_E_Casseau_v_finale.pdf https://inria.hal.science/hal-01354992/file/Demo_night_poster_v2.pdf BibTex
titre
Protocoles de communication faibles latences et faibles consommations à base de wake-up radio
auteur
Fayçal Ait Aoudia, Matthieu Gautier, Olivier Berder
article
Colloque du GDR SoC-SiP, Jun 2016, Nantes, France
Accès au bibtex
BibTex
titre
Plateforme matérielle–logicielle à bas coût pour l'émulation de fautes
auteur
Pierre Guilloux, Arnaud Tisserand
article
Colloque du GDR SoC-SiP, Jun 2016, Nantes, France.
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01346576/file/article-socsip-2016.pdf BibTex
titre
Système de commutation de sources d'énergie pour capteurs sans-fil
auteur
Philip-Dylan Gleonec, Jeremy Ardouin, Matthieu Gautier, Olivier Berder
article
Colloque du GDR SoC-SiP, Jun 2016, Nantes, France
Accès au bibtex
BibTex
titre
Zyggie: Wireless body area network prototype for gesture recognition and geolocation
auteur
A. Courtay, Olivier Berder, Mickaël Le Gentil, Arnaud Carer
article
Colloque du GDR SoC-SiP, Jun 2016, Nantes, France
Accès au bibtex
BibTex
titre
Poster Abstract: Wake-Up Receivers for Energy Efficient and Low Latency Communication
auteur
Fayçal Ait Aoudia, Michele Magno, Matthieu Gautier, Olivier Berder, Luca Benini
article
ACM/IEEE International Conference on Information Processing in Sensor Networks (IPSN), Apr 2016, Vienna, Austria. 2016, ⟨10.1109/IPSN.2016.7460717⟩
Accès au bibtex
BibTex

Documents associated with scientific events

titre
Plateforme matérielle–logicielle d'émulation de fautes pour des opérateurs arithmétiques
auteur
Pierre Guilloux, Arnaud Tisserand
article
Compas 2016 : Conférence d’informatique en Parallélisme, Architecture et Système, Jul 2016, Lorient, France. , pp.8
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01313051/file/article-compas2016.pdf BibTex

Reports

titre
Deliverable D2.1 - Report on selected relevant metrics: design and implementation choice
auteur
Rabab Bouziane, Erven Rohou
article
[Research Report] Inria Rennes – Bretagne Atlantique. 2016
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-03168302/file/D2.1.pdf BibTex
titre
Simty: a Synthesizable General-Purpose SIMT Processor
auteur
Caroline Collange
article
[Research Report] RR-8944, Inria Rennes Bretagne Atlantique. 2016
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01351689/file/RR-8944.pdf BibTex
titre
Yet Another Compressed Cache: a Low Cost Yet Effective Compressed Cache
auteur
Somayeh Sardashti, André Seznec, David A. Wood
article
[Research Report] RR-8853, Inria. 2016, pp.23
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01270792/file/RRyacc.pdf BibTex

Theses

titre
SIMD-aware word length optimization for floating-point to fixed-point conversion targeting embedded processors
auteur
Ali Hassan El Moussawi
article
Computer Arithmetic. Université Rennes 1, 2016. English. ⟨NNT : 2016REN1S150⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-01425642/file/EL_MOUSSAWI_Ali_Hassan.pdf BibTex
titre
Adaptive and Intelligent Memory Systems
auteur
Aswinkumar Sridharan
article
Hardware Architecture [cs.AR]. INRIA Rennes - Bretagne Atlantique and University of Rennes 1, France, 2016. English. ⟨NNT : ⟩
Accès au texte intégral et bibtex
https://inria.hal.science/tel-01442465/file/SRIDHARAN_Aswinkumar.pdf BibTex
titre
Transforming TLP into DLP with the dynamic inter-thread vectorization architecture
auteur
Sajith Kalathingal
article
Hardware Architecture [cs.AR]. Université de Rennes, 2016. English. ⟨NNT : 2016REN1S133⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-01426915/file/KALATHINGAL_Sajith.pdf BibTex
titre
Conception d'un processeur ultra basse consommation pour les noeuds de capteurs sans fil
auteur
Florent Berthier
article
Réseaux et télécommunications [cs.NI]. Université de Rennes, 2016. Français. ⟨NNT : 2016REN1S130⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-01423146/file/BERTHIER_Florent.pdf BibTex
titre
Contributions aux opérateurs arithmétiques GF$(2^m)$ et leurs applications à la cryptographie sur courbes elliptiques
auteur
Jérémy Métairie
article
Arithmétique des ordinateurs. Université Rennes 1, 2016. Français. ⟨NNT : ⟩
Accès au texte intégral et bibtex
https://hal.science/tel-01324924/file/these_metairie_jeremy_2016.pdf BibTex
titre
Intercepting functions for memoization
auteur
Arjun Suresh
article
Programming Languages [cs.PL]. Université de Rennes, 2016. English. ⟨NNT : 2016REN1S106⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-01410539/file/SURESH_Arjun.pdf BibTex
titre
Energy-efficient cooperative techniques for wireless body area sensor networks
auteur
Viet-Hoa Nguyen
article
Networking and Internet Architecture [cs.NI]. Université Rennes 1, 2016. English. ⟨NNT : 2016REN1S011⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-02384923/file/NGUYEN_Viet-hoa.pdf BibTex

2015

Journal articles

titre
Numerical Reproducibility for the Parallel Reduction on Multi- and Many-Core Architectures
auteur
Caroline Collange, David Defour, Stef Graillat, Roman Iakymchuk
article
Parallel Computing, 2015, 49, pp.83-97. ⟨10.1016/j.parco.2015.09.001⟩
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-01206348/file/superaccumulator.pdf BibTex
titre
Combining execution pipelines to improve parallel implementation of HMMER on FPGA
auteur
Naeem Abbas, Steven Derrien, Sanjay Rajopadhye, Patrice Quinton, Alexandre Cornu, Dominique Lavenier
article
Microprocessors and Microsystems: Embedded Hardware Design , 2015, 39, pp.457-470. ⟨10.1016/j.micpro.2015.06.006⟩
Accès au bibtex
BibTex
titre
A simple proof of optimality for the MIN cache replacement policy
auteur
Mun-Kyu Lee, Pierre Michaud, Jeong Seop Sim, Daehun Nyang
article
Information Processing Letters, 2015, pp.3. ⟨10.1016/j.ipl.2015.09.004⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01199424/file/halversion.pdf BibTex
titre
Towards FHE in Embedded Systems: A Preliminary Co-Design Space Exploration of a HW/SW Very Large Multiplier
auteur
Abozaid Ghada, Arnaud Tisserand, El-Mahdy Ahmed, Wada Yasutaka
article
IEEE Embedded Systems Letters, 2015, 7 (3), ⟨10.1109/LES.2015.2436372⟩
Accès au bibtex
BibTex
titre
Revisiting Clustered Microarchitecture for Future Superscalar Cores: A Case for Wide Issue Clusters
auteur
Pierre Michaud, Andrea Mondelli, André Seznec
article
ACM Transactions on Architecture and Code Optimization, 2015, 13 (3), pp.22. ⟨10.1145/2800787⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01193178/file/halversion2.pdf BibTex
titre
Energy-Efficient Power Manager and MAC Protocol for Multi-Hop Wireless Sensor Networks Powered by Periodic Energy Harvesting Sources
auteur
Trong-Nhan Le, Alain Pegatoquet, Olivier Berder, Olivier Sentieys
article
IEEE Sensors Journal, 2015, 15 (2), pp.7208-7220. ⟨10.1109/JSEN.2015.2472566⟩
Accès au bibtex
BibTex
titre
Energy Neutral Design Framework for Supercapacitor-based Autonomous Wireless Sensor Networks
auteur
Trong Nhan Le, Alain Pegatoquet, Olivier Berder, Olivier Sentieys, Arnaud Carer
article
ACM Journal on Emerging Technologies in Computing Systems, 2015, 12 (2), pp.1--21 Article 19. ⟨10.1145/2787512⟩
Accès au bibtex
BibTex
titre
Intercepting Functions for Memoization: A Case Study Using Transcendental Functions
auteur
Arjun Suresh, Bharath Narasimha Swamy, Erven Rohou, André Seznec
article
ACM Transactions on Architecture and Code Optimization, 2015, 12 (2), pp.23. ⟨10.1145/2751559⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01178085/file/memoization.pdf BibTex
titre
Embedded Multi-Core Systems Dedicated to Dynamic Dataflow Programs
auteur
Hervé Yviquel, Alexandre Sanchez, Pekka Jääskeläinen, Jarmo Takala, Mickaël Raulet, Emmanuel Casseau
article
Journal of Signal Processing Systems, 2015, 80 (1), pp.121-136. ⟨10.1007/s11265-014-0953-5⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01078142/file/jsps.pdf BibTex
titre
EOLE: Toward a Practical Implementation of Value Prediction
auteur
Arthur Perais, André Seznec
article
IEEE Micro, 2015, Micro's Top Picks from the 2014 Computer Architecture Conferences, 35 (3), pp.114 - 124. ⟨10.1109/MM.2015.45⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01193287/file/MI_MicroSI-2015-02-0057_Perais.pdf BibTex
titre
A methodology for speeding up loop kernels by exploiting the software information and the memory architecture
auteur
Vasilios Kelefouras, Angeliki Kritikakou, Costas Goutis
article
Computer Languages, Systems and Structures, 2015, 41, pp.21-41. ⟨10.1016/j.cl.2015.01.003⟩
Accès au bibtex
BibTex
titre
A methodology for speeding up matrix vector multiplication for single/multi-core architectures
auteur
Vasilios Kelefouras, Angeliki Kritikakou, Elissavet Papadima, Costas Goutis
article
Journal of Supercomputing, 2015, 71 (7), pp.2644-2667. ⟨10.1007/s11227-015-1409-9⟩
Accès au bibtex
BibTex
titre
NoC-Based Protection for SoC Time-Driven Attacks
auteur
Martha Johanna Sepulveda, Jean-Philippe Diguet, Marius Strum, Guy Gogniat
article
IEEE Embedded Systems Letters, 2015, 7 (1), ⟨10.1109/LES.2014.2384744⟩
Accès au bibtex
BibTex
titre
A multiplierless pruned DCT-like transformation for image and video compression that requires ten additions only
auteur
Vítor A. Coutinho, Renato J. Cintra, Fábio M. Bayer, Sunera Kulasekera, Arjuna Madanayake
article
Journal of Real-Time Image Processing, 2015, pp.1-9. ⟨10.1007/s11554-015-0492-8⟩
Accès au bibtex
BibTex
titre
High performance Discrete Cosine Transform (DCT) operator using multimedia oriented subword parallelism (SWP)
auteur
Shafqat Khan, Emmanuel Casseau, Daniel Menard
article
Advances in Computer Engineering, 2015, Advances in Computer Engineering, 2015, pp.10. ⟨10.1155/2015/405856⟩
Accès au bibtex
BibTex
titre
Static Probabilistic Worst Case Execution Time Estimation for Architectures with Faulty Instruction Caches
auteur
Damien Hardy, Isabelle Puaut
article
Real-Time Systems, 2015, 51, pp.25. ⟨10.1007/s11241-014-9212-x⟩
Accès au bibtex
BibTex

Conference papers

titre
Exploration of Polynomial Multiplication Algorithms for Homomorphic Encryption Schemes
auteur
Vincent Migliore, Maria Méndez Real, Vianney Lapotre, Arnaud Tisserand, Caroline Fontaine, Guy Gogniat
article
International Conference on Reconfigurable Computing and FPGAs (ReConFig), Dec 2015, Cancun, Mexico. ⟨10.1109/ReConFig.2015.7393307⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01273192/file/reconfig-2015.pdf BibTex
titre
Long Term Parking (LTP): Criticality-aware Resource Allocation in OOO Processors
auteur
Andreas Sembrant, Trevor Carlson, Erik Hagersten, David Black-Shaffer, Arthur Perais, André Seznec, Pierre Michaud
article
International Symposium on Microarchitecture, Micro 2015, Dec 2015, Honolulu, United States. pp.11
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01225019/file/paper-18%20%281%29.pdf BibTex
titre
The Inner Most Loop Iteration counter: a new dimension in branch history
auteur
André Seznec, Joshua San Miguel, Jorge Albericio
article
48th International Symposium On Microarchitecture, Dec 2015, Honolulu, United States. pp.11
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01208347/file/IMLI.pdf BibTex
titre
ExBLAS: Reproducible and Accurate BLAS Library
auteur
Roman Iakymchuk, Caroline Collange, David Defour, Stef Graillat
article
NRE: Numerical Reproducibility at Exascale, Nov 2015, Austin, TX, United States
Accès au texte intégral et bibtex
https://hal.science/hal-01202396/file/exblas.pdf BibTex
titre
Scheduling of parallel applications on many-core architectures with caches: bridging the gap between WCET analysis and schedulability analysis
auteur
Viet Anh Nguyen, Damien Hardy, Isabelle Puaut
article
9th Junior Researcher Workshop on Real-Time Computing (JRWRTC 2015), Nov 2015, Lille, France
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01236191/file/JWRTNS2015_cam.pdf BibTex
titre
ANTAREX – AutoTuning and Adaptivity appRoach for Energy efficient eXascale HPC systems
auteur
Cristina Silvano, Giovanni Agosta, Andrea Bartolini, Andrea Beccari, Luca Benini, João M. P. Cardoso, Carlo Cavazzoni, Jan Martinovič, Gianluca Palermo, Martin Palkovič, Erven Rohou, Nico Sanna, Katerina Slaninova
article
18th IEEE International Conference on Computational Science and Engineering, Oct 2015, Porto, Portugal
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01235713/file/CSE2015.pdf BibTex
titre
Fusion of calling sites
auteur
Douglas Do Couto Teixeira, Caroline Collange, Fernando Magno Quintão Pereira
article
International Symposium on Computer Architecture and High-Performance Computing (SBAC-PAD), Oct 2015, Florianópolis, Santa Catarina, Brazil. ⟨10.1109/SBAC-PAD.2015.16⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01410221/file/doCouto_FusionCallingSites_SBAC15.pdf BibTex
titre
Hardware Accelerators for ECC and HECC
auteur
Arnaud Tisserand
article
ECC: 19th Workshop on Elliptic Curve Cryptography, Sep 2015, Bordeaux, France
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01207422/file/slides-ecc2015.pdf BibTex
titre
Experimental Demonstration of Real Time Receiver for FDMA PON
auteur
R. Bardoux, Arnaud Carer, Aurélien Lebreton, Laurent Bramerie, Pascal Scalart, Benoit Charbonnier
article
41st European Conference on Optical Communication (ECOC 2015), Sep 2015, Valencia, Spain. ⟨10.1109/ECOC.2015.7341628⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01166164/file/FAON_ecoc2015_v06-1.pdf BibTex
titre
Communication Aware Design Method for Optical Network-on-Chip
auteur
Martha Johanna Sepulveda, Sébastien Le Beux, Luo Jiating, Cédric Killian, Daniel Chillet, Ian O'Connor, Olivier Sentieys
article
International Symposium on Embedded Multicore/Many-core Systems-on-Chip, MCSoC-15, Politecnico di Torino, Turin, Italy, Sep 2015, Turin, Italy. pp.243-250
Accès au bibtex
BibTex
titre
Selecting Most Profitable Instruction-Set Extensions Using Ant Colony Heuristic
auteur
Shanshan Wang, Chenglong Xiao, Wanjun Liu, Emmanuel Casseau, Yang Xiao
article
Conference on Design and Architectures for Signal and Image Processing, DASIP 2015, Sep 2015, Cracow, Poland
Accès au bibtex
BibTex
titre
New Pack Oriented Solutions for Energy-Aware Feasible Adaptive Real-Time Systems
auteur
Aymen Gammoudi, Adel Benzina, Mohamed Khalgui, Daniel Chillet
article
International Conference on Intelligent Software Methodologies, Tools and Techniques, SoMeT 15, University of Naples "Federico II", ITALY, Sep 2015, Naples, Italy. ⟨10.1007/978-3-319-22689-7_6⟩
Accès au bibtex
BibTex
titre
Single Base Modular Multiplication for Efficient Hardware RNS Implementations of ECC
auteur
Karim Bigou, Arnaud Tisserand
article
CHES: 17th International Workshop on Cryptographic Hardware and Embedded Systems, Sep 2015, Saint-Malo, France. pp.123-140, ⟨10.1007/978-3-662-48324-4_7⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01199155/file/article_ches2015.pdf BibTex
titre
Reproducible floating-point atomic addition in data-parallel environment
auteur
David Defour, Caroline Collange
article
ACSIS, Sep 2015, Lodz, Poland. pp.721-728, ⟨10.15439/2015F86⟩
Accès au bibtex
BibTex
titre
Précodage distribué basé sur la distance euclidienne pour les réseaux de capteurs sans fil *
auteur
Viet-Hoa Nguyen, Baptiste Vrigneau, Charlotte Langlais, Olivier Berder
article
GRETSI 2015 : 25ème colloque du Groupement de Recherche en Traitement du Signal et des Images, Sep 2015, Lyon, France
Accès au texte intégral et bibtex
https://hal.science/hal-01277173/file/nguyen258%20%282%29.pdf BibTex
titre
Estimation cooperative et sous contraintes pour la localisation dans les réseaux de capteurs corporels
auteur
Matthieu Gautier, Antoine Courtay, Gia-Minh Hoang
article
Gretsi 2015, Sep 2015, Lyon, France
Accès au texte intégral et bibtex
https://hal.science/hal-01197342/file/gretsifr.pdf BibTex
titre
Approximation de l'information mutuelle de précodeurs MIMO basée sur la distance minimale et sa probabilité
auteur
Baptiste Vrigneau, Olivier Berder
article
Gretsi, Sep 2015, Lyon, France
Accès au texte intégral et bibtex
https://hal.science/hal-01277167/file/GRETSI15_IM.pdf BibTex
titre
Gestion des zones en fautes d’une architecture reconfigurable lors du placement des tâches matérielles
auteur
Daniel Chillet, Chin Dinh Ma, Olivier Sentieys
article
Gretsi 2015, Sep 2015, Lyon, France
Accès au bibtex
BibTex
titre
Adaptation dynamique de la qualité de service dans les réseaux de capteurs avec récupération d'énergie
auteur
Fayçal Ait Aoudia, Matthieu Gautier, Olivier Berder
article
Gretsi 2015, Sep 2015, Lyon, France
Accès au texte intégral et bibtex
https://hal.science/hal-01197338/file/gretsifr.pdf BibTex
titre
Analyse des performances du décodage conjoint source-relais pour un protocole Decode-and-Forward bruité
auteur
Haifa Fares Jridi, Charlotte Langlais
article
GRETSI 2015 : 25ème colloque du Groupement de Recherche en Traitement du Signal et des Images, Sep 2015, Lyon, France
Accès au bibtex
BibTex
titre
Channel-Aware Energy Optimization of OFDM Receivers Using Dynamic Precision Scaling in FPGAs
auteur
Fernando Cladera, Matthieu Gautier, Olivier Sentieys
article
European Signal Processing Conference (EUSIPCO 2015), Aug 2015, Nice, France
Accès au texte intégral et bibtex
https://hal.science/hal-01175917/file/PID3771363.pdf BibTex
titre
GRAPMAN: Gradual Power Manager for Consistent Throughput of Energy Harvesting Wireless Sensor Nodes
auteur
Fayçal Ait Aoudia, Matthieu Gautier, Olivier Berder
article
IEEE International Symposium on Personal, Indoor, and Mobile Radio Communications, Aug 2015, Hong Kong, China. pp.6
Accès au texte intégral et bibtex
https://hal.science/hal-01197335/file/Grapman_final.pdf BibTex
titre
Green Communication via HARQ Protocols using Message-Passing Decoder over AWGN Channels
auteur
Haïfa Fares, Baptiste Vrigneau, Olivier Berder
article
PIMRC, Aug 2015, Hong-Kong, France. ⟨10.1109/PIMRC.2015.7343294⟩
Accès au bibtex
BibTex
titre
Fast and Secure Finite Field Multipliers
auteur
Danuta Pamula, Arnaud Tisserand
article
DSD: Euromicro Conference on Digital System Design, Aug 2015, Funchal, Portugal. ⟨10.1109/DSD.2015.46⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01169851/file/dsd2015.pdf BibTex
titre
Tracing Flow Information for Tighter WCET Estimation: Application to Vectorization
auteur
Hanbing Li, Isabelle Puaut, Erven Rohou
article
21st IEEE International Conference on Embedded and Real-Time Computing Systems and Applications, Aug 2015, Hong-Kong, China. pp.10
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01177902/file/RTCSA.pdf BibTex
titre
Dynamic Re-Vectorization of Binary Code
auteur
Nabil Hallou, Erven Rohou, Philippe Clauss, Alain Ketterlin
article
International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation - SAMOS XV, Jul 2015, Agios Konstantinos, Greece
Accès au bibtex
BibTex
titre
Designing Applications for Heterogeneous Many-Core Architectures with the FlexTiles Platform
auteur
Benedikt Janssen, Fynn Schwiegelshohn, Martijn Koedam, François Duhem, Leonard Masing, Stephan Werner, Christophe Huriaux, Antoine Courtay, Emilie Wheatley, Kees Goossens, Fabrice Lemonnier, Philippe Millet, Jürgen Becker, Olivier Sentieys, Michael Hübner
article
SAMOS - 15th International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation, IEEE, Jul 2015, Samos Island, Greece. pp.9
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01185737/file/SS0_02.pdf BibTex
titre
Energy-Aware Computing via Adaptive Precision under Performance Constraints in OFDM Wireless Receivers
auteur
Fernando Cladera, Matthieu Gautier, Olivier Sentieys
article
IEEE Computer Society Annual Symposium on VLSI (ISVLSI 15), Jul 2015, Montpellier, France
Accès au texte intégral et bibtex
https://hal.science/hal-01175920/file/ISVLSI_EnergyAwareComputing_Cladera2015.pdf BibTex
titre
Small FPGA based Multiplication-Inversion Unit for Normal Basis Representation in $GF(2^m)$
auteur
Jérémy Métairie, Arnaud Tisserand, Emmanuel Casseau
article
ISVLSI: IEEE Computer Society Annual Symposium on VLSI, Jul 2015, Montpellier, France
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01175712/file/article.pdf BibTex
titre
Comparaison expérimentale d'architectures de crypto-processeurs pour courbes elliptiques et hyper-elliptiques
auteur
Gabriel Gallin, Arnaud Tisserand, Nicolas Veyrat-Charvillon
article
Compas: Conférence d’informatique en Parallélisme, Architecture et Système, Jun 2015, Lille, France
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01171094/file/paper_24.pdf BibTex
titre
Full Hardware Implementation of Short Addition Chains Recoding for ECC Scalar Multiplication
auteur
Julien Proy, Nicolas Veyrat-Charvillon, Arnaud Tisserand, Nicolas Méloni
article
Compas: Conférence d’informatique en Parallélisme, Architecture et Système, Jun 2015, Lille, France
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01171095/file/paper_34.pdf BibTex
titre
Fast Prototyping of a New Reconfigurable Architecture : Toward Tailored Space FPGA
auteur
Chagun Basha Basheer Ahmed, Sébastien Pillement, Loïc Lagadec, Arnaud Tisserand
article
Conférence d’informatique en Parallélisme, Architecture et Système (Compas), Jun 2015, Villeneuve d'Ascq, France. pp.10
Accès au bibtex
BibTex
titre
COMPA backend : Runtime dynamique pour l’exécution de programmes flot de données sur plates-formes multiprocesseurs
auteur
Yaset Oliva, Emmanuel Casseau, Kevin Martin, Jean-Philippe Diguet, Thanh Dinh Ngo, Yvan Eustache
article
COMPAS 2015 : - Conférence d’informatique en Parallélisme, Architecture et Système, Jun 2015, Lille, France. pp.1-9
Accès au texte intégral et bibtex
https://hal.science/hal-01167037/file/COMPA_COMPAS15-proceedings.pdf BibTex
titre
Carrier Frequency Offset Estimation Based on Circular Harmonic Expansion for Optical Coherent M-QAM Communication Systems
auteur
Trung Hien Nguyen, Michel Joindot, Mathilde Gay, Laurent Bramerie, Jean-Claude Simon, Pascal Scalart, Olivier Sentieys
article
20th Opto-Electronics and Communications Conference (OECC 2015), Jun 2015, Shanghai, China. pp.1-3, ⟨10.1109/OECC.2015.7340175⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01316911/file/OECC2015_Hien_final.pdf BibTex
titre
Carrier Phase Recovery for Optical Coherent M-QAM Communication Systems Using Harmonic Decomposition-based Maximum Loglikelihood Estimators
auteur
Trung Hien Nguyen, Michel Joindot, Pascal Scalart, Mathilde Gay, Laurent Bramerie, Olivier Sentieys, Jean-Claude Simon
article
OSA Advanced Photonics Congress 2015 (APC 2015), Jun 2015, Boston, MA, United States. SpT4D.3, ⟨10.1364/SPPCOM.2015.SpT4D.3⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01315770/file/THNguyen_10-03-2015.pdf BibTex
titre
Cost-Effective Speculative Scheduling in High Performance Processors
auteur
Arthur Perais, André Seznec, Pierre Michaud, Andreas Sembrant, Erik Hagersten
article
International Symposium on Computer Architecture, ACM/IEEE, Jun 2015, Portland, United States. pp.247-259, ⟨10.1145/2749469.2749470⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01193233/file/ISCA%2715_Scheduling.pdf BibTex
titre
A Best-Offset Prefetcher
auteur
Pierre Michaud
article
2nd Data Prefetching Championship, Jun 2015, Portland, United States
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01165600/file/dpc2_michaud.pdf BibTex
titre
Bank-interleaved cache or memory indexing does not require euclidean division
auteur
André Seznec
article
11th Annual Workshop on Duplicating, Deconstructing and Debunking, Jun 2015, Portland, United States
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01208356/file/EuclideanDivision.pdf BibTex
titre
Low-complexity energy proportional posture/gesture recognition based on WBSN
auteur
Alexis Aulery, Jean-Philippe Diguet, Christian Roland, Olivier Sentieys
article
12th IEEE Int. Conference on Wearable and Implantable Body Sensor Networks (BSN), Jun 2015, Cambridge, United States. ⟨10.1109/BSN.2015.7299414⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01163581/file/BSN15.pdf BibTex
titre
Cooperative-cum-Constrained Maximum Likelihood Algorithm for UWB-based Localization in Wireless BANs
auteur
Gia-Minh Hoang, Matthieu Gautier, Antoine Courtay
article
IEEE International Conference on Communications (ICC15), Jun 2015, London, United Kingdom
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01175913/file/Paper%20ICC_Minh.pdf BibTex
titre
Low complexity on-chip distributed DC-DC converter for low power WSN nodes
auteur
Rengarajan Ragavan, Cédric Killian, Olivier Sentieys
article
NEWCAS 2015 - New Circuits and Systems Conference, Jun 2015, Grenoble, France. pp.4, ⟨10.1109/NEWCAS.2015.7182118⟩
Accès au bibtex
BibTex
titre
Joint Simple Blind IQ Imbalance Compensation and Adaptive Equalization for 16-QAM Optical Communications
auteur
Trung Hien Nguyen, Pascal Scalart, Michel Joindot, Mathilde Gay, Laurent Bramerie, Christophe Peucheret, Arnaud Carer, Jean-Claude Simon, Olivier Sentieys
article
IEEE International Conference on Communications, Jun 2015, Londres, United Kingdom. pp.4913 - 4918, ⟨10.1109/ICC.2015.7249101⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01162391/file/ICC2015_IQ%20imbalance%20compensation_2ndRound_Final.pdf BibTex
titre
Sequential Performance: Raising Awareness of the Gory Details
auteur
Erven Rohou, David Guyon
article
International Conference on Computational Science, Jun 2015, Reykjavik, Iceland. ⟨10.1016/j.procs.2015.05.347⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01162336/file/ICCS2015-final.pdf BibTex
titre
Power gain estimation of an event-driven wake-up controller dedicated to WSN's microcontroller
auteur
Florent Berthier, Edith Beigné, Pascal Vivet, Olivier Sentieys
article
IEEE 13th International New Circuits and Systems Conference (NEWCAS), Jun 2015, Grenoble, France. pp.1-4, ⟨10.1109/NEWCAS.2015.7182064⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01253513/file/07182064.pdf BibTex
titre
Asynchronous Charge Sharing Power Consistent Montgomery Multiplier
auteur
Jiaoyan Chen, Arnaud Tisserand, Emanuel Popovici, Sorin Cotofana
article
ASYNC: 21st IEEE International Symposium on Asynchronous Circuits and Systems, May 2015, Mountain View, Silicon Valley California, United States
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01176845/file/Asynchronous%20Charge%20Sharing%20Power%20Consistent%20Montgomery%20Multiplier.pdf BibTex
titre
Protecting against Cryptographic Trojans in FPGAs
auteur
Pawel Swierczynski, Marc Fyrbiak, Christof Paar, Christophe Huriaux, Russell Tessier
article
FCCM - 23rd IEEE International Symposium on Field-Programmable Custom Computing Machines, May 2015, Vancouver, Canada. ⟨10.1109/FCCM.2015.55⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01140008/file/swierczynski-fccm15.pdf BibTex
titre
Multi-beam receiver apertures using multiplierless 8-point approximate DFT
auteur
Sunera Kulasekera, Arjuna Madanayake, Dora Suarez, Renato J. Cintra, Fabio M. Bayer
article
IEEE Radar Conference (RadarCon), May 2015, Arlington, VA, United States. pp.1244-1249, ⟨10.1109/RADAR.2015.7131185⟩
Accès au bibtex
BibTex
titre
Multi-beam 4 GHz Microwave Apertures Using Current-Mode DFT Approximation on 65 nm CMOS
auteur
Viduneth Ariyarathna, Sunera Kulasekera, Arjuna Madanayake, Kye-Shin Lee, Dora Suarez, Renato J. Cintra, Fábio M. Bayer, Leonid Belostotski
article
International Microwave Symposium (IMS), May 2015, Phoenix, United States
Accès au bibtex
BibTex
titre
Radio Signature Based Posture Recognition Using WBSN
auteur
Alexis Aulery, Christian Roland, Jean-Philippe Diguet, Zheng Zhongwei, Olivier Sentieys, Pascal Scalart
article
The 14th International Conference on Information Processing in Sensor Networks (IPSN), Apr 2015, Seattle, United States
Accès au bibtex
BibTex
titre
Reproducible Triangular Solvers for High-Performance Computing
auteur
Roman Iakymchuk, David Defour, Caroline Collange, Stef Graillat
article
2015 12th International Conference on Information Technology - New Generations, Apr 2015, Las Vegas, NV, United States. pp.353-358, ⟨10.1109/ITNG.2015.63⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01116588/file/trsv.pdf BibTex
titre
Reproducibility and Accuracy for High-Performance Computing
auteur
Roman Iakymchuk, Caroline Collange, David Defour, Stef Graillat
article
RAIM: Rencontres Arithmétiques de l’Informatique Mathématique, Apr 2015, Rennes, France
Accès au bibtex
BibTex
titre
Multi-beam 8×8 RF aperture digital beamformers using multiplierless 2-D FFT approximations
auteur
Sunera Kulasekera, Arjuna Madanayake, Chamith Wijenayake, Fabio M. Bayer, Dora Suarez, Renato J. Cintra
article
IEEE Moratuwa Engineering Research Conference (MERCon), Apr 2015, Moratuwa, Sri Lanka. pp.260-264, ⟨10.1109/MERCon.2015.7112356⟩
Accès au bibtex
BibTex
titre
Revisiting Symbiotic Job Scheduling
auteur
Stijn Eyerman, Pierre Michaud, Wouter Rogiest
article
IEEE International Symposium on Performance Analysis of Systems and Software, Mar 2015, Philadelphia, United States. ⟨10.1109/ISPASS.2015.7095791⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01139807/file/ISPASS15_sched_ef.pdf BibTex
titre
Speeding up Static Probabilistic Timing Analysis
auteur
Milutinovic Suzana, Jaume Abella, Damien Hardy, Eduardo Quinones, Isabelle Puaut, Francisco J. Cazorla
article
ARCS 2015 - International Conference on Architecture of Computing Systems, Mar 2015, Porto, Portugal. pp.236-247, ⟨10.1007/978-3-319-16086-3_19⟩
Accès au bibtex
BibTex
titre
Nonlinear phase noise reduction for 20-Gbit/s NRZ-QPSK signals using InP on SOI photonic crystal nanocavity
auteur
Trung Hien Nguyen, M Gay, L Bramerie, Kevin Lenglé, Christophe Peucheret, Olivier Sentieys, Jean-Claude Simon, A Bazin, R Raj, F Raineri
article
Optical Fiber Communication Conference (OFC 2015), Mar 2015, Los Angeles, California, USA, United States. pp.Tu2F.3, ⟨10.1364/OFC.2015.Tu2F.3⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01136435/file/Nguyen_ofc_15_Tu2F.3.pdf BibTex
titre
Design Flow and Run-Time Management for Compressed FPGA Configurations
auteur
Christophe Huriaux, Antoine Courtay, Olivier Sentieys
article
DATE - Design, Automation and Test in Europe, Mar 2015, Grenoble, France
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01089319/file/huriaux-date15.pdf BibTex
titre
l1-norm Minimization Based Algorithm for Non-Intrusive Load Monitoring
auteur
Xuan-Chien Le, Baptiste Vrigneau, Olivier Sentieys
article
IEEE International Conference on Pervasive Computing and Communication Workshops (PerCom Workshops), IEEE Workshop on Pervasive Energy Services, Mar 2015, St. Louis, United States. pp.299 - 304, ⟨10.1109/PERCOMW.2015.7134052⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01253514/file/07134052.pdf BibTex
titre
Bandwidth Requirements in Manycore Architectures: What Can 3D Bring?
auteur
Olivier Sentieys
article
1st International Workshop on Optical/Photonic Inter- connects for Computing Systems (OPTICS Workshop), co-located with IEEE/ACM Design Au- tomation and Test in Europe (DATE’15), Mar 2015, Grenoble, France
Accès au bibtex
BibTex
titre
Distributed Minimum Euclidean Distance based Precoding for Wireless Sensor Network
auteur
Viet-Hoa Nguyen, Charlotte Langlais, Baptiste Vrigneau, Olivier Berder
article
International Conference on Computing, Networking and Communications (ICNC), IEEE, Feb 2015, Anaheim, United States
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01121146/file/ICNC2015.pdf BibTex
titre
Branch Prediction and the Performance of Interpreters - Don't Trust Folklore
auteur
Erven Rohou, Bharath Narasimha Swamy, André Seznec
article
International Symposium on Code Generation and Optimization, Feb 2015, Burlingame, United States
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01100647/file/InterpIBr-hal.pdf BibTex
titre
BeBoP: A Cost Effective Predictor Infrastructure for Superscalar Value Prediction
auteur
Arthur Perais, André Seznec
article
International Symposium on High Performance Computer Architecture, IEEE, Feb 2015, San Francisco, United States. pp.13 - 25 ), ⟨10.1109/HPCA.2015.7056018⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01193175/file/HPCA%2715_BEBOP.pdf BibTex
titre
OJIT: A Novel Obfuscation Approach Using Standard Just-In-Time Compiler Transformations
auteur
Muhammad Hataba, Ahmed El-Mahdy, Erven Rohou
article
International Workshop on Dynamic Compilation Everywhere, Jan 2015, Amsterdam, Netherlands
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01162998/file/dce2015-2.pdf BibTex
titre
Channel allocation protocol for reconfigurable Optical Network-on-Chip
auteur
Luo Jiating, Cédric Killian, Sébastien Le Beux, Daniel Chillet, Hui Li, Ian O'Connor, Olivier Sentieys
article
SiPhotonics: Exploiting Silicon Photonics for energy-efficient high-performance computing (SiPhotonics'15), Jan 2015, Amsterdam, Netherlands. pp.7
Accès au bibtex
BibTex
titre
Sequential and Parallel Code Sections are Different: they may require different Processors
auteur
Surya Narayanan Natarajan, André Seznec
article
PARMA-DITAM '15 - 6th Workshop on Parallel Programming and Run-Time Management Techniques for Many-core Architectures, Jan 2015, Amsterdam, Netherlands. pp.13-18, ⟨10.1145/2701310.2701314⟩
Accès au bibtex
BibTex
titre
An Empirical High Level Performance Model For Future Many-cores
auteur
Surya Narayanan Natarajan, Bharath Narasimha Swamy, André Seznec
article
Proceedings of the 12th ACM International Conference on Computing Frontiers, 2015, Ischia, Italy. ⟨10.1145/2742854.2742867⟩
Accès au bibtex
BibTex
titre
Asynchronous Wake Up Controller for WSN’s Microcontroller: Power Simulation and Specifications
auteur
Florent Berthier, Edith Beigné, Pascal Vivet, Olivier Sentieys
article
21st IEEE International Symposium on Asynchronous Circuits and Systems, 2015, Mountain View, United States
Accès au bibtex
BibTex
titre
Prediction-based superpage-friendly TLB designs
auteur
Misel-Myrto Papadopoulou, Xin Tong, André Seznec, Andreas Moshovos
article
21st IEEE symposium on High Performance Computer Architecture, 2015, San Francisco, United States. ⟨10.1109/HPCA.2015.7056034⟩
Accès au bibtex
BibTex

Habilitation à diriger des recherches

titre
Infrastructures and Compilation Strategies for the Performance of Computing Systems
auteur
Erven Rohou
article
Other [cs.OH]. Université de Rennes 1, 2015
Accès au texte intégral et bibtex
https://inria.hal.science/tel-01237164/file/HDR-Erven-Rohou.pdf BibTex

Other publications

titre
Fixed-point refinement, a guaranteed approach towards energy efficient computing
auteur
Olivier Sentieys, Daniel Menard, David Novo, Karthick Parashar
article
2015
Accès au bibtex
BibTex

Books

titre
Proceedings of IEEE 22nd Symposium on Computer Arithmetic
auteur
Jean-Michel Muller, Arnaud Tisserand, Julio Villalba Moreno
article
Muller, Jean-Michel; Tisserand, Arnaud; Villalba Moreno, Julio. IEEE, 2015, ⟨10.1109/ARITH.2015.1⟩
Accès au bibtex
BibTex

Poster communications

titre
Compa backend: a Dynamic Runtime for the execution of dataflow programs onto multi-core platforms
auteur
Kevin Martin, Jean-Philippe Diguet, Yvan Eustache, Thanh Dinh Ngo, Emmanuel Casseau, Yaset Oliva
article
Conference on Design & Architectures for Signal & Image Processing, Demo Night, Sep 2015, Cracow, Poland. 2015
Accès au bibtex
BibTex
titre
ExBLAS: Reproducible and Accurate BLAS Library
auteur
Roman Iakymchuk, Stef Graillat, Caroline Collange, David Defour
article
RAIM: Rencontres Arithmétiques de l’Informatique Mathématique, Apr 2015, Rennes, France. , 7ème Rencontre Arithmétique de l'Informatique Mathématique, 2015
Accès au texte intégral et bibtex
https://hal.science/hal-01140280/file/tikzp.pdf BibTex
titre
RNS Modular Computations for Cryptographic Applications
auteur
Karim Bigou, Arnaud Tisserand
article
RAIM: 7ème Rencontre Arithmétique de l'Informatique Mathématique, Apr 2015, Rennes, France. , 2015
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01141347/file/poster.pdf BibTex
titre
Hardware and Arithmetic for Hyperelliptic Curves Cryptography
auteur
Gabriel Gallin, Arnaud Tisserand, Nicolas Veyrat-Charvillon
article
RAIM: 7ème Rencontre Arithmétique de l'Informatique Mathématique, Apr 2015, Rennes, France. , 2015
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01134020/file/poster.pdf BibTex

Documents associated with scientific events

titre
Experimental Comparison of Crypto-processors Architectures for Elliptic and Hyper-Elliptic Curves Cryptography
auteur
Gabriel Gallin, Arnaud Tisserand, Nicolas Veyrat-Charvillon
article
CryptArchi: 13th International Workshops on Cryptographic Architectures Embedded in Reconfigurable Devices, Jun 2015, Leuven, Belgium.
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01197048/file/slides-CryptArchi.pdf BibTex

Reports

titre
Transforming TLP into DLP with the Dynamic Inter-Thread Vectorization Architecture
auteur
Sajith Kalathingal, Caroline Collange, Bharath Narasimha Swamy, André Seznec
article
[Research Report] RR-8830, Inria Rennes Bretagne Atlantique. 2015
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01244938/file/RR-8830.pdf BibTex
titre
Discrete Cache Insertion Policies for Shared Last Level Cache Management on Large Multicores
auteur
Aswinkumar Sridharan, André Seznec
article
[Research Report] RR-8816, INRIA-IRISA Rennes Bretagne Atlantique, équipe ALF. 2015
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01236706/file/RR-8816.pdf BibTex

Theses

titre
Architecture FPGA améliorée et flot de conception pour une reconfiguration matérielle en ligne efficace
auteur
Christophe Huriaux
article
Architectures Matérielles [cs.AR]. Université de Rennes, 2015. Français. ⟨NNT : 2015REN1S140⟩
Accès au texte intégral et bibtex
https://inria.hal.science/tel-01253498/file/HURIAUX_Christophe.pdf BibTex
titre
Extraction and traceability of annotations for WCET estimation
auteur
Hanbing Li
article
Other [cs.OH]. Université de Rennes, 2015. English. ⟨NNT : 2015REN1S040⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-01232613/file/LI_Hanbing.pdf BibTex
titre
Increasing the performance of superscalar processors through value prediction
auteur
Arthur Perais
article
Hardware Architecture [cs.AR]. Université de Rennes, 2015. English. ⟨NNT : 2015REN1S070⟩
Accès au texte intégral et bibtex
https://theses.hal.science/tel-01235370/file/PERAIS_Arthur.pdf BibTex
titre
Modeling performance of serial and parallel sections of multi-threaded programs in manycore era
auteur
Surya Narayanan Natarajan
article
Hardware Architecture [cs.AR]. INRIA Rennes - Bretagne Atlantique and University of Rennes 1, France, 2015. English. ⟨NNT : ⟩
Accès au texte intégral et bibtex
https://inria.hal.science/tel-01170039/file/these.pdf BibTex
titre
Definition and evaluation of spatio-temporal scheduling strategies for 3D multi-core heterogeneous architectures
auteur
Quang Hai Khuat
article
Hardware Architecture [cs.AR]. Université de Rennes 1, 2015. English. ⟨NNT : ⟩
Accès au texte intégral et bibtex
https://inria.hal.science/tel-01253529/file/Thesis_KHUAT.pdf BibTex
titre
Exploiting heterogeneous manycores on sequential code
auteur
Bharath Narasimha Swamy
article
Computer Science [cs]. UNIVERSITE DE RENNES 1, 2015. English. ⟨NNT : ⟩
Accès au texte intégral et bibtex
https://inria.hal.science/tel-01126807/file/these_bharath.pdf BibTex

Preprints, Working Papers, ...

titre
Numerical Reproducibility for the Parallel Reduction on Multi- and Many-Core Architectures
auteur
Caroline Collange, David Defour, Stef Graillat, Roman Iakymchuk
article
2015
Accès au texte intégral et bibtex
https://hal.science/hal-00949355/file/superaccumulator.pdf BibTex
titre
Reproducible and Accurate Matrix Multiplication for GPU Accelerators
auteur
Roman Iakymchuk, David Defour, Caroline Collange, Stef Graillat
article
2015
Accès au texte intégral et bibtex
https://hal.science/hal-01102877/file/paper.pdf BibTex